xc16x-opc.c 77 KB

1234567891011121314151617181920212223242526272829303132333435363738394041424344454647484950515253545556575859606162636465666768697071727374757677787980818283848586878889909192939495969798991001011021031041051061071081091101111121131141151161171181191201211221231241251261271281291301311321331341351361371381391401411421431441451461471481491501511521531541551561571581591601611621631641651661671681691701711721731741751761771781791801811821831841851861871881891901911921931941951961971981992002012022032042052062072082092102112122132142152162172182192202212222232242252262272282292302312322332342352362372382392402412422432442452462472482492502512522532542552562572582592602612622632642652662672682692702712722732742752762772782792802812822832842852862872882892902912922932942952962972982993003013023033043053063073083093103113123133143153163173183193203213223233243253263273283293303313323333343353363373383393403413423433443453463473483493503513523533543553563573583593603613623633643653663673683693703713723733743753763773783793803813823833843853863873883893903913923933943953963973983994004014024034044054064074084094104114124134144154164174184194204214224234244254264274284294304314324334344354364374384394404414424434444454464474484494504514524534544554564574584594604614624634644654664674684694704714724734744754764774784794804814824834844854864874884894904914924934944954964974984995005015025035045055065075085095105115125135145155165175185195205215225235245255265275285295305315325335345355365375385395405415425435445455465475485495505515525535545555565575585595605615625635645655665675685695705715725735745755765775785795805815825835845855865875885895905915925935945955965975985996006016026036046056066076086096106116126136146156166176186196206216226236246256266276286296306316326336346356366376386396406416426436446456466476486496506516526536546556566576586596606616626636646656666676686696706716726736746756766776786796806816826836846856866876886896906916926936946956966976986997007017027037047057067077087097107117127137147157167177187197207217227237247257267277287297307317327337347357367377387397407417427437447457467477487497507517527537547557567577587597607617627637647657667677687697707717727737747757767777787797807817827837847857867877887897907917927937947957967977987998008018028038048058068078088098108118128138148158168178188198208218228238248258268278288298308318328338348358368378388398408418428438448458468478488498508518528538548558568578588598608618628638648658668678688698708718728738748758768778788798808818828838848858868878888898908918928938948958968978988999009019029039049059069079089099109119129139149159169179189199209219229239249259269279289299309319329339349359369379389399409419429439449459469479489499509519529539549559569579589599609619629639649659669679689699709719729739749759769779789799809819829839849859869879889899909919929939949959969979989991000100110021003100410051006100710081009101010111012101310141015101610171018101910201021102210231024102510261027102810291030103110321033103410351036103710381039104010411042104310441045104610471048104910501051105210531054105510561057105810591060106110621063106410651066106710681069107010711072107310741075107610771078107910801081108210831084108510861087108810891090109110921093109410951096109710981099110011011102110311041105110611071108110911101111111211131114111511161117111811191120112111221123112411251126112711281129113011311132113311341135113611371138113911401141114211431144114511461147114811491150115111521153115411551156115711581159116011611162116311641165116611671168116911701171117211731174117511761177117811791180118111821183118411851186118711881189119011911192119311941195119611971198119912001201120212031204120512061207120812091210121112121213121412151216121712181219122012211222122312241225122612271228122912301231123212331234123512361237123812391240124112421243124412451246124712481249125012511252125312541255125612571258125912601261126212631264126512661267126812691270127112721273127412751276127712781279128012811282128312841285128612871288128912901291129212931294129512961297129812991300130113021303130413051306130713081309131013111312131313141315131613171318131913201321132213231324132513261327132813291330133113321333133413351336133713381339134013411342134313441345134613471348134913501351135213531354135513561357135813591360136113621363136413651366136713681369137013711372137313741375137613771378137913801381138213831384138513861387138813891390139113921393139413951396139713981399140014011402140314041405140614071408140914101411141214131414141514161417141814191420142114221423142414251426142714281429143014311432143314341435143614371438143914401441144214431444144514461447144814491450145114521453145414551456145714581459146014611462146314641465146614671468146914701471147214731474147514761477147814791480148114821483148414851486148714881489149014911492149314941495149614971498149915001501150215031504150515061507150815091510151115121513151415151516151715181519152015211522152315241525152615271528152915301531153215331534153515361537153815391540154115421543154415451546154715481549155015511552155315541555155615571558155915601561156215631564156515661567156815691570157115721573157415751576157715781579158015811582158315841585158615871588158915901591159215931594159515961597159815991600160116021603160416051606160716081609161016111612161316141615161616171618161916201621162216231624162516261627162816291630163116321633163416351636163716381639164016411642164316441645164616471648164916501651165216531654165516561657165816591660166116621663166416651666166716681669167016711672167316741675167616771678167916801681168216831684168516861687168816891690169116921693169416951696169716981699170017011702170317041705170617071708170917101711171217131714171517161717171817191720172117221723172417251726172717281729173017311732173317341735173617371738173917401741174217431744174517461747174817491750175117521753175417551756175717581759176017611762176317641765176617671768176917701771177217731774177517761777177817791780178117821783178417851786178717881789179017911792179317941795179617971798179918001801180218031804180518061807180818091810181118121813181418151816181718181819182018211822182318241825182618271828182918301831183218331834183518361837183818391840184118421843184418451846184718481849185018511852185318541855185618571858185918601861186218631864186518661867186818691870187118721873187418751876187718781879188018811882188318841885188618871888188918901891189218931894189518961897189818991900190119021903190419051906190719081909191019111912191319141915191619171918191919201921192219231924192519261927192819291930193119321933193419351936193719381939194019411942194319441945194619471948194919501951195219531954195519561957195819591960196119621963196419651966196719681969197019711972197319741975197619771978197919801981198219831984198519861987198819891990199119921993199419951996199719981999200020012002200320042005200620072008200920102011201220132014201520162017201820192020202120222023202420252026202720282029203020312032203320342035203620372038203920402041204220432044204520462047204820492050205120522053205420552056205720582059206020612062206320642065206620672068206920702071207220732074207520762077207820792080208120822083208420852086208720882089209020912092209320942095209620972098209921002101210221032104210521062107210821092110211121122113211421152116211721182119212021212122212321242125212621272128212921302131213221332134213521362137213821392140214121422143214421452146214721482149215021512152215321542155215621572158215921602161216221632164216521662167216821692170217121722173217421752176217721782179218021812182218321842185218621872188218921902191219221932194219521962197219821992200220122022203220422052206220722082209221022112212221322142215221622172218221922202221222222232224222522262227222822292230223122322233223422352236223722382239224022412242224322442245224622472248224922502251225222532254225522562257225822592260226122622263226422652266226722682269227022712272227322742275227622772278227922802281228222832284228522862287228822892290229122922293229422952296229722982299230023012302230323042305230623072308230923102311231223132314231523162317231823192320232123222323232423252326232723282329233023312332233323342335233623372338233923402341234223432344234523462347234823492350235123522353235423552356235723582359236023612362236323642365236623672368236923702371237223732374237523762377237823792380238123822383238423852386238723882389239023912392239323942395239623972398239924002401240224032404240524062407240824092410241124122413241424152416241724182419242024212422242324242425242624272428242924302431243224332434243524362437243824392440244124422443244424452446244724482449245024512452245324542455245624572458245924602461246224632464246524662467246824692470247124722473247424752476247724782479248024812482248324842485248624872488248924902491249224932494249524962497249824992500250125022503250425052506250725082509251025112512251325142515251625172518251925202521252225232524252525262527252825292530253125322533253425352536253725382539254025412542254325442545254625472548254925502551255225532554255525562557255825592560256125622563256425652566256725682569257025712572257325742575257625772578257925802581258225832584258525862587258825892590259125922593259425952596259725982599260026012602260326042605260626072608260926102611261226132614261526162617261826192620262126222623262426252626262726282629263026312632263326342635263626372638263926402641264226432644264526462647264826492650265126522653265426552656265726582659266026612662266326642665266626672668266926702671267226732674267526762677267826792680268126822683268426852686268726882689269026912692269326942695269626972698269927002701270227032704270527062707270827092710271127122713271427152716271727182719272027212722272327242725272627272728272927302731273227332734273527362737273827392740274127422743274427452746274727482749275027512752275327542755275627572758275927602761276227632764276527662767276827692770277127722773277427752776277727782779278027812782278327842785278627872788278927902791279227932794279527962797279827992800280128022803280428052806280728082809281028112812281328142815281628172818281928202821282228232824282528262827282828292830283128322833283428352836283728382839284028412842284328442845284628472848284928502851285228532854285528562857285828592860286128622863286428652866286728682869287028712872287328742875287628772878287928802881288228832884288528862887288828892890289128922893289428952896289728982899290029012902290329042905290629072908290929102911291229132914291529162917291829192920292129222923292429252926292729282929293029312932293329342935293629372938293929402941294229432944294529462947294829492950295129522953295429552956295729582959296029612962296329642965296629672968296929702971297229732974297529762977297829792980298129822983298429852986298729882989299029912992299329942995299629972998299930003001300230033004300530063007300830093010301130123013301430153016301730183019302030213022302330243025302630273028302930303031303230333034303530363037303830393040304130423043304430453046304730483049
  1. /* DO NOT EDIT! -*- buffer-read-only: t -*- vi:set ro: */
  2. /* Instruction opcode table for xc16x.
  3. THIS FILE IS MACHINE GENERATED WITH CGEN.
  4. Copyright (C) 1996-2022 Free Software Foundation, Inc.
  5. This file is part of the GNU Binutils and/or GDB, the GNU debugger.
  6. This file is free software; you can redistribute it and/or modify
  7. it under the terms of the GNU General Public License as published by
  8. the Free Software Foundation; either version 3, or (at your option)
  9. any later version.
  10. It is distributed in the hope that it will be useful, but WITHOUT
  11. ANY WARRANTY; without even the implied warranty of MERCHANTABILITY
  12. or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public
  13. License for more details.
  14. You should have received a copy of the GNU General Public License along
  15. with this program; if not, write to the Free Software Foundation, Inc.,
  16. 51 Franklin Street - Fifth Floor, Boston, MA 02110-1301, USA.
  17. */
  18. #include "sysdep.h"
  19. #include "ansidecl.h"
  20. #include "bfd.h"
  21. #include "symcat.h"
  22. #include "xc16x-desc.h"
  23. #include "xc16x-opc.h"
  24. #include "libiberty.h"
  25. /* -- opc.c */
  26. /* -- */
  27. /* The hash functions are recorded here to help keep assembler code out of
  28. the disassembler and vice versa. */
  29. static int asm_hash_insn_p (const CGEN_INSN *);
  30. static unsigned int asm_hash_insn (const char *);
  31. static int dis_hash_insn_p (const CGEN_INSN *);
  32. static unsigned int dis_hash_insn (const char *, CGEN_INSN_INT);
  33. /* Instruction formats. */
  34. #define F(f) & xc16x_cgen_ifld_table[XC16X_##f]
  35. static const CGEN_IFMT ifmt_empty ATTRIBUTE_UNUSED = {
  36. 0, 0, 0x0, { { 0 } }
  37. };
  38. static const CGEN_IFMT ifmt_addrpof ATTRIBUTE_UNUSED = {
  39. 32, 32, 0xff, { { F (F_MEMORY) }, { F (F_REG8) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  40. };
  41. static const CGEN_IFMT ifmt_addbrpof ATTRIBUTE_UNUSED = {
  42. 32, 32, 0xff, { { F (F_MEMORY) }, { F (F_REGB8) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  43. };
  44. static const CGEN_IFMT ifmt_addrpag ATTRIBUTE_UNUSED = {
  45. 32, 32, 0xff, { { F (F_UIMM16) }, { F (F_REG8) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  46. };
  47. static const CGEN_IFMT ifmt_addbrpag ATTRIBUTE_UNUSED = {
  48. 32, 32, 0xff, { { F (F_UIMM16) }, { F (F_REGB8) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  49. };
  50. static const CGEN_IFMT ifmt_addrhpof ATTRIBUTE_UNUSED = {
  51. 32, 32, 0xff, { { F (F_UIMM16) }, { F (F_REG8) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  52. };
  53. static const CGEN_IFMT ifmt_addrhpof3 ATTRIBUTE_UNUSED = {
  54. 16, 16, 0x8ff, { { F (F_R1) }, { F (F_OP_BIT1) }, { F (F_UIMM3) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  55. };
  56. static const CGEN_IFMT ifmt_addbrhpag3 ATTRIBUTE_UNUSED = {
  57. 16, 16, 0x8ff, { { F (F_R1) }, { F (F_OP_BIT1) }, { F (F_UIMM3) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  58. };
  59. static const CGEN_IFMT ifmt_addrbhpof ATTRIBUTE_UNUSED = {
  60. 32, 32, 0xff0000ff, { { F (F_OP_BIT8) }, { F (F_UIMM8) }, { F (F_REGB8) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  61. };
  62. static const CGEN_IFMT ifmt_addr ATTRIBUTE_UNUSED = {
  63. 16, 16, 0xff, { { F (F_R1) }, { F (F_R2) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  64. };
  65. static const CGEN_IFMT ifmt_addbr ATTRIBUTE_UNUSED = {
  66. 16, 16, 0xff, { { F (F_R1) }, { F (F_R2) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  67. };
  68. static const CGEN_IFMT ifmt_add2 ATTRIBUTE_UNUSED = {
  69. 16, 16, 0xcff, { { F (F_R1) }, { F (F_OP_BIT2) }, { F (F_R0) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  70. };
  71. static const CGEN_IFMT ifmt_addb2 ATTRIBUTE_UNUSED = {
  72. 16, 16, 0xcff, { { F (F_R1) }, { F (F_OP_BIT2) }, { F (F_R0) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  73. };
  74. static const CGEN_IFMT ifmt_addrm2 ATTRIBUTE_UNUSED = {
  75. 32, 32, 0xff, { { F (F_MEMGR8) }, { F (F_REGMEM8) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  76. };
  77. static const CGEN_IFMT ifmt_addrm ATTRIBUTE_UNUSED = {
  78. 32, 32, 0xff, { { F (F_MEMORY) }, { F (F_REG8) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  79. };
  80. static const CGEN_IFMT ifmt_addbrm2 ATTRIBUTE_UNUSED = {
  81. 32, 32, 0xff, { { F (F_MEMGR8) }, { F (F_REGMEM8) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  82. };
  83. static const CGEN_IFMT ifmt_addbrm ATTRIBUTE_UNUSED = {
  84. 32, 32, 0xff, { { F (F_MEMORY) }, { F (F_REGB8) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  85. };
  86. static const CGEN_IFMT ifmt_muls ATTRIBUTE_UNUSED = {
  87. 16, 16, 0xff, { { F (F_R1) }, { F (F_R2) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  88. };
  89. static const CGEN_IFMT ifmt_div ATTRIBUTE_UNUSED = {
  90. 16, 16, 0xff, { { F (F_REG8) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  91. };
  92. static const CGEN_IFMT ifmt_cpl ATTRIBUTE_UNUSED = {
  93. 16, 16, 0xfff, { { F (F_R1) }, { F (F_OP_BIT4) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  94. };
  95. static const CGEN_IFMT ifmt_cplb ATTRIBUTE_UNUSED = {
  96. 16, 16, 0xfff, { { F (F_R1) }, { F (F_OP_BIT4) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  97. };
  98. static const CGEN_IFMT ifmt_movri ATTRIBUTE_UNUSED = {
  99. 16, 16, 0xff, { { F (F_UIMM4) }, { F (F_R4) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  100. };
  101. static const CGEN_IFMT ifmt_movbri ATTRIBUTE_UNUSED = {
  102. 16, 16, 0xff, { { F (F_UIMM4) }, { F (F_R2) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  103. };
  104. static const CGEN_IFMT ifmt_movbr2 ATTRIBUTE_UNUSED = {
  105. 16, 16, 0xff, { { F (F_R1) }, { F (F_R2) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  106. };
  107. static const CGEN_IFMT ifmt_mov9i ATTRIBUTE_UNUSED = {
  108. 32, 32, 0xff, { { F (F_UIMM16) }, { F (F_R1) }, { F (F_R2) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  109. };
  110. static const CGEN_IFMT ifmt_movb9i ATTRIBUTE_UNUSED = {
  111. 32, 32, 0xff, { { F (F_UIMM16) }, { F (F_R1) }, { F (F_R2) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  112. };
  113. static const CGEN_IFMT ifmt_movri11 ATTRIBUTE_UNUSED = {
  114. 32, 32, 0xf0ff, { { F (F_MEMORY) }, { F (F_OP_LBIT4) }, { F (F_R2) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  115. };
  116. static const CGEN_IFMT ifmt_movehm5 ATTRIBUTE_UNUSED = {
  117. 32, 32, 0xff, { { F (F_MEMORY) }, { F (F_REGOFF8) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  118. };
  119. static const CGEN_IFMT ifmt_movehm6 ATTRIBUTE_UNUSED = {
  120. 32, 32, 0xff, { { F (F_UIMM16) }, { F (F_REGOFF8) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  121. };
  122. static const CGEN_IFMT ifmt_movehm7 ATTRIBUTE_UNUSED = {
  123. 32, 32, 0xff, { { F (F_OFFSET16) }, { F (F_REGOFF8) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  124. };
  125. static const CGEN_IFMT ifmt_movehm8 ATTRIBUTE_UNUSED = {
  126. 32, 32, 0xff, { { F (F_OFFSET16) }, { F (F_REGOFF8) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  127. };
  128. static const CGEN_IFMT ifmt_movehm10 ATTRIBUTE_UNUSED = {
  129. 32, 32, 0xff0000ff, { { F (F_OP_BIT8) }, { F (F_UIMM8) }, { F (F_REGOFF8) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  130. };
  131. static const CGEN_IFMT ifmt_movbsrpofm ATTRIBUTE_UNUSED = {
  132. 32, 32, 0xff, { { F (F_MEMORY) }, { F (F_REGMEM8) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  133. };
  134. static const CGEN_IFMT ifmt_movbspofmr ATTRIBUTE_UNUSED = {
  135. 32, 32, 0xff, { { F (F_MEMORY) }, { F (F_REGMEM8) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  136. };
  137. static const CGEN_IFMT ifmt_jmpa0 ATTRIBUTE_UNUSED = {
  138. 32, 32, 0x4ff, { { F (F_OFFSET16) }, { F (F_EXTCCODE) }, { F (F_OP_BITONE) }, { F (F_OP_ONEBIT) }, { F (F_OP_1BIT) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  139. };
  140. static const CGEN_IFMT ifmt_jmpa_ ATTRIBUTE_UNUSED = {
  141. 32, 32, 0x5ff, { { F (F_OFFSET16) }, { F (F_EXTCCODE) }, { F (F_OP_BITONE) }, { F (F_OP_ONEBIT) }, { F (F_OP_1BIT) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  142. };
  143. static const CGEN_IFMT ifmt_jmpi ATTRIBUTE_UNUSED = {
  144. 16, 16, 0xff, { { F (F_ICONDCODE) }, { F (F_R2) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  145. };
  146. static const CGEN_IFMT ifmt_jmpr_nenz ATTRIBUTE_UNUSED = {
  147. 16, 16, 0xff, { { F (F_REL8) }, { F (F_RCOND) }, { F (F_OP2) }, { 0 } }
  148. };
  149. static const CGEN_IFMT ifmt_jmpseg ATTRIBUTE_UNUSED = {
  150. 32, 32, 0xff, { { F (F_OFFSET16) }, { F (F_SEG8) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  151. };
  152. static const CGEN_IFMT ifmt_jmps ATTRIBUTE_UNUSED = {
  153. 32, 32, 0xff, { { F (F_OFFSET16) }, { F (F_SEG8) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  154. };
  155. static const CGEN_IFMT ifmt_jb ATTRIBUTE_UNUSED = {
  156. 32, 32, 0xf0000ff, { { F (F_QLOBIT) }, { F (F_QHIBIT) }, { F (F_RELHI8) }, { F (F_REGB8) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  157. };
  158. static const CGEN_IFMT ifmt_calla0 ATTRIBUTE_UNUSED = {
  159. 32, 32, 0x6ff, { { F (F_OFFSET16) }, { F (F_EXTCCODE) }, { F (F_OP_2BIT) }, { F (F_OP_1BIT) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  160. };
  161. static const CGEN_IFMT ifmt_calla_ ATTRIBUTE_UNUSED = {
  162. 32, 32, 0x7ff, { { F (F_OFFSET16) }, { F (F_EXTCCODE) }, { F (F_OP_BIT3) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  163. };
  164. static const CGEN_IFMT ifmt_callr ATTRIBUTE_UNUSED = {
  165. 16, 16, 0xff, { { F (F_REL8) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  166. };
  167. static const CGEN_IFMT ifmt_callseg ATTRIBUTE_UNUSED = {
  168. 32, 32, 0xff, { { F (F_OFFSET16) }, { F (F_SEG8) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  169. };
  170. static const CGEN_IFMT ifmt_pcall ATTRIBUTE_UNUSED = {
  171. 32, 32, 0xff, { { F (F_OFFSET16) }, { F (F_REG8) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  172. };
  173. static const CGEN_IFMT ifmt_trap ATTRIBUTE_UNUSED = {
  174. 16, 16, 0x1ff, { { F (F_UIMM7) }, { F (F_OP_1BIT) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  175. };
  176. static const CGEN_IFMT ifmt_ret ATTRIBUTE_UNUSED = {
  177. 16, 16, 0xff0000ff, { { F (F_OP_BIT8) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  178. };
  179. static const CGEN_IFMT ifmt_retp ATTRIBUTE_UNUSED = {
  180. 16, 16, 0xff, { { F (F_REG8) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  181. };
  182. static const CGEN_IFMT ifmt_reti ATTRIBUTE_UNUSED = {
  183. 16, 16, 0xffff, { { F (F_OP_LBIT4) }, { F (F_OP_BIT4) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  184. };
  185. static const CGEN_IFMT ifmt_srstm ATTRIBUTE_UNUSED = {
  186. 32, 32, 0xffffffff, { { F (F_OP_BIT8) }, { F (F_DATA8) }, { F (F_OP_LBIT4) }, { F (F_OP_BIT4) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  187. };
  188. static const CGEN_IFMT ifmt_atomic ATTRIBUTE_UNUSED = {
  189. 16, 16, 0xcfff, { { F (F_OP_LBIT2) }, { F (F_UIMM2) }, { F (F_OP_BIT4) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  190. };
  191. static const CGEN_IFMT ifmt_extp ATTRIBUTE_UNUSED = {
  192. 16, 16, 0xc0ff, { { F (F_OP_LBIT2) }, { F (F_UIMM2) }, { F (F_R2) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  193. };
  194. static const CGEN_IFMT ifmt_extp1 ATTRIBUTE_UNUSED = {
  195. 32, 32, 0xfc00cfff, { { F (F_QLOBIT) }, { F (F_QLOBIT2) }, { F (F_PAGENUM) }, { F (F_OP_LBIT2) }, { F (F_UIMM2) }, { F (F_OP_BIT4) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  196. };
  197. static const CGEN_IFMT ifmt_extpg1 ATTRIBUTE_UNUSED = {
  198. 32, 32, 0xcfff, { { F (F_UIMM16) }, { F (F_OP_LBIT2) }, { F (F_UIMM2) }, { F (F_OP_BIT4) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  199. };
  200. static const CGEN_IFMT ifmt_exts1 ATTRIBUTE_UNUSED = {
  201. 32, 32, 0xff00cfff, { { F (F_OP_BIT8) }, { F (F_SEGNUM8) }, { F (F_OP_LBIT2) }, { F (F_UIMM2) }, { F (F_OP_BIT4) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  202. };
  203. static const CGEN_IFMT ifmt_bclr18 ATTRIBUTE_UNUSED = {
  204. 16, 16, 0xff, { { F (F_REG8) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  205. };
  206. static const CGEN_IFMT ifmt_bclr0 ATTRIBUTE_UNUSED = {
  207. 16, 16, 0xff, { { F (F_REG8) }, { F (F_QCOND) }, { F (F_OP2) }, { 0 } }
  208. };
  209. static const CGEN_IFMT ifmt_bmov ATTRIBUTE_UNUSED = {
  210. 32, 32, 0xff, { { F (F_QLOBIT) }, { F (F_QHIBIT) }, { F (F_REGHI8) }, { F (F_REG8) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  211. };
  212. static const CGEN_IFMT ifmt_bfldl ATTRIBUTE_UNUSED = {
  213. 32, 32, 0xff, { { F (F_DATAHI8) }, { F (F_MASK8) }, { F (F_REG8) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  214. };
  215. static const CGEN_IFMT ifmt_bfldh ATTRIBUTE_UNUSED = {
  216. 32, 32, 0xff, { { F (F_DATAHI8) }, { F (F_DATA8) }, { F (F_REG8) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  217. };
  218. static const CGEN_IFMT ifmt_cmpri ATTRIBUTE_UNUSED = {
  219. 16, 16, 0x8ff, { { F (F_R1) }, { F (F_OP_BIT1) }, { F (F_UIMM3) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  220. };
  221. static const CGEN_IFMT ifmt_cmpd1ri ATTRIBUTE_UNUSED = {
  222. 16, 16, 0xff, { { F (F_UIMM4) }, { F (F_R2) }, { F (F_OP1) }, { F (F_OP2) }, { 0 } }
  223. };
  224. #undef F
  225. #define A(a) (1 << CGEN_INSN_##a)
  226. #define OPERAND(op) XC16X_OPERAND_##op
  227. #define MNEM CGEN_SYNTAX_MNEMONIC /* syntax value for mnemonic */
  228. #define OP(field) CGEN_SYNTAX_MAKE_FIELD (OPERAND (field))
  229. /* The instruction table. */
  230. static const CGEN_OPCODE xc16x_cgen_insn_opcode_table[MAX_INSNS] =
  231. {
  232. /* Special null first entry.
  233. A `num' value of zero is thus invalid.
  234. Also, the special `invalid' insn resides here. */
  235. { { 0, 0, 0, 0 }, {{0}}, 0, {0}},
  236. /* add $reg8,$pof$upof16 */
  237. {
  238. { 0, 0, 0, 0 },
  239. { { MNEM, ' ', OP (REG8), ',', OP (POF), OP (UPOF16), 0 } },
  240. & ifmt_addrpof, { 0x2 }
  241. },
  242. /* sub $reg8,$pof$upof16 */
  243. {
  244. { 0, 0, 0, 0 },
  245. { { MNEM, ' ', OP (REG8), ',', OP (POF), OP (UPOF16), 0 } },
  246. & ifmt_addrpof, { 0x22 }
  247. },
  248. /* addb $regb8,$pof$upof16 */
  249. {
  250. { 0, 0, 0, 0 },
  251. { { MNEM, ' ', OP (REGB8), ',', OP (POF), OP (UPOF16), 0 } },
  252. & ifmt_addbrpof, { 0x3 }
  253. },
  254. /* subb $regb8,$pof$upof16 */
  255. {
  256. { 0, 0, 0, 0 },
  257. { { MNEM, ' ', OP (REGB8), ',', OP (POF), OP (UPOF16), 0 } },
  258. & ifmt_addbrpof, { 0x23 }
  259. },
  260. /* add $reg8,$pag$upag16 */
  261. {
  262. { 0, 0, 0, 0 },
  263. { { MNEM, ' ', OP (REG8), ',', OP (PAG), OP (UPAG16), 0 } },
  264. & ifmt_addrpag, { 0x2 }
  265. },
  266. /* sub $reg8,$pag$upag16 */
  267. {
  268. { 0, 0, 0, 0 },
  269. { { MNEM, ' ', OP (REG8), ',', OP (PAG), OP (UPAG16), 0 } },
  270. & ifmt_addrpag, { 0x22 }
  271. },
  272. /* addb $regb8,$pag$upag16 */
  273. {
  274. { 0, 0, 0, 0 },
  275. { { MNEM, ' ', OP (REGB8), ',', OP (PAG), OP (UPAG16), 0 } },
  276. & ifmt_addbrpag, { 0x3 }
  277. },
  278. /* subb $regb8,$pag$upag16 */
  279. {
  280. { 0, 0, 0, 0 },
  281. { { MNEM, ' ', OP (REGB8), ',', OP (PAG), OP (UPAG16), 0 } },
  282. & ifmt_addbrpag, { 0x23 }
  283. },
  284. /* addc $reg8,$pof$upof16 */
  285. {
  286. { 0, 0, 0, 0 },
  287. { { MNEM, ' ', OP (REG8), ',', OP (POF), OP (UPOF16), 0 } },
  288. & ifmt_addrpof, { 0x12 }
  289. },
  290. /* subc $reg8,$pof$upof16 */
  291. {
  292. { 0, 0, 0, 0 },
  293. { { MNEM, ' ', OP (REG8), ',', OP (POF), OP (UPOF16), 0 } },
  294. & ifmt_addrpof, { 0x32 }
  295. },
  296. /* addcb $regb8,$pof$upof16 */
  297. {
  298. { 0, 0, 0, 0 },
  299. { { MNEM, ' ', OP (REGB8), ',', OP (POF), OP (UPOF16), 0 } },
  300. & ifmt_addbrpof, { 0x13 }
  301. },
  302. /* subcb $regb8,$pof$upof16 */
  303. {
  304. { 0, 0, 0, 0 },
  305. { { MNEM, ' ', OP (REGB8), ',', OP (POF), OP (UPOF16), 0 } },
  306. & ifmt_addbrpof, { 0x33 }
  307. },
  308. /* addc $reg8,$pag$upag16 */
  309. {
  310. { 0, 0, 0, 0 },
  311. { { MNEM, ' ', OP (REG8), ',', OP (PAG), OP (UPAG16), 0 } },
  312. & ifmt_addrpag, { 0x12 }
  313. },
  314. /* subc $reg8,$pag$upag16 */
  315. {
  316. { 0, 0, 0, 0 },
  317. { { MNEM, ' ', OP (REG8), ',', OP (PAG), OP (UPAG16), 0 } },
  318. & ifmt_addrpag, { 0x32 }
  319. },
  320. /* addcb $regb8,$pag$upag16 */
  321. {
  322. { 0, 0, 0, 0 },
  323. { { MNEM, ' ', OP (REGB8), ',', OP (PAG), OP (UPAG16), 0 } },
  324. & ifmt_addbrpag, { 0x13 }
  325. },
  326. /* subcb $regb8,$pag$upag16 */
  327. {
  328. { 0, 0, 0, 0 },
  329. { { MNEM, ' ', OP (REGB8), ',', OP (PAG), OP (UPAG16), 0 } },
  330. & ifmt_addbrpag, { 0x33 }
  331. },
  332. /* add $pof$upof16,$reg8 */
  333. {
  334. { 0, 0, 0, 0 },
  335. { { MNEM, ' ', OP (POF), OP (UPOF16), ',', OP (REG8), 0 } },
  336. & ifmt_addrpof, { 0x4 }
  337. },
  338. /* sub $pof$upof16,$reg8 */
  339. {
  340. { 0, 0, 0, 0 },
  341. { { MNEM, ' ', OP (POF), OP (UPOF16), ',', OP (REG8), 0 } },
  342. & ifmt_addrpof, { 0x24 }
  343. },
  344. /* addb $pof$upof16,$regb8 */
  345. {
  346. { 0, 0, 0, 0 },
  347. { { MNEM, ' ', OP (POF), OP (UPOF16), ',', OP (REGB8), 0 } },
  348. & ifmt_addbrpof, { 0x5 }
  349. },
  350. /* subb $pof$upof16,$regb8 */
  351. {
  352. { 0, 0, 0, 0 },
  353. { { MNEM, ' ', OP (POF), OP (UPOF16), ',', OP (REGB8), 0 } },
  354. & ifmt_addbrpof, { 0x25 }
  355. },
  356. /* addc $pof$upof16,$reg8 */
  357. {
  358. { 0, 0, 0, 0 },
  359. { { MNEM, ' ', OP (POF), OP (UPOF16), ',', OP (REG8), 0 } },
  360. & ifmt_addrpof, { 0x14 }
  361. },
  362. /* subc $pof$upof16,$reg8 */
  363. {
  364. { 0, 0, 0, 0 },
  365. { { MNEM, ' ', OP (POF), OP (UPOF16), ',', OP (REG8), 0 } },
  366. & ifmt_addrpof, { 0x34 }
  367. },
  368. /* addcb $pof$upof16,$regb8 */
  369. {
  370. { 0, 0, 0, 0 },
  371. { { MNEM, ' ', OP (POF), OP (UPOF16), ',', OP (REGB8), 0 } },
  372. & ifmt_addbrpof, { 0x15 }
  373. },
  374. /* subcb $pof$upof16,$regb8 */
  375. {
  376. { 0, 0, 0, 0 },
  377. { { MNEM, ' ', OP (POF), OP (UPOF16), ',', OP (REGB8), 0 } },
  378. & ifmt_addbrpof, { 0x35 }
  379. },
  380. /* add $reg8,$hash$pof$uimm16 */
  381. {
  382. { 0, 0, 0, 0 },
  383. { { MNEM, ' ', OP (REG8), ',', OP (HASH), OP (POF), OP (UIMM16), 0 } },
  384. & ifmt_addrhpof, { 0x6 }
  385. },
  386. /* sub $reg8,$hash$pof$uimm16 */
  387. {
  388. { 0, 0, 0, 0 },
  389. { { MNEM, ' ', OP (REG8), ',', OP (HASH), OP (POF), OP (UIMM16), 0 } },
  390. & ifmt_addrhpof, { 0x26 }
  391. },
  392. /* add $reg8,$hash$pag$uimm16 */
  393. {
  394. { 0, 0, 0, 0 },
  395. { { MNEM, ' ', OP (REG8), ',', OP (HASH), OP (PAG), OP (UIMM16), 0 } },
  396. & ifmt_addrhpof, { 0x6 }
  397. },
  398. /* sub $reg8,$hash$pag$uimm16 */
  399. {
  400. { 0, 0, 0, 0 },
  401. { { MNEM, ' ', OP (REG8), ',', OP (HASH), OP (PAG), OP (UIMM16), 0 } },
  402. & ifmt_addrhpof, { 0x26 }
  403. },
  404. /* add $dr,$hash$pof$uimm3 */
  405. {
  406. { 0, 0, 0, 0 },
  407. { { MNEM, ' ', OP (DR), ',', OP (HASH), OP (POF), OP (UIMM3), 0 } },
  408. & ifmt_addrhpof3, { 0x8 }
  409. },
  410. /* sub $dr,$hash$pof$uimm3 */
  411. {
  412. { 0, 0, 0, 0 },
  413. { { MNEM, ' ', OP (DR), ',', OP (HASH), OP (POF), OP (UIMM3), 0 } },
  414. & ifmt_addrhpof3, { 0x28 }
  415. },
  416. /* addb $drb,$hash$pag$uimm3 */
  417. {
  418. { 0, 0, 0, 0 },
  419. { { MNEM, ' ', OP (DRB), ',', OP (HASH), OP (PAG), OP (UIMM3), 0 } },
  420. & ifmt_addbrhpag3, { 0x9 }
  421. },
  422. /* subb $drb,$hash$pag$uimm3 */
  423. {
  424. { 0, 0, 0, 0 },
  425. { { MNEM, ' ', OP (DRB), ',', OP (HASH), OP (PAG), OP (UIMM3), 0 } },
  426. & ifmt_addbrhpag3, { 0x29 }
  427. },
  428. /* add $dr,$hash$pag$uimm3 */
  429. {
  430. { 0, 0, 0, 0 },
  431. { { MNEM, ' ', OP (DR), ',', OP (HASH), OP (PAG), OP (UIMM3), 0 } },
  432. & ifmt_addrhpof3, { 0x8 }
  433. },
  434. /* sub $dr,$hash$pag$uimm3 */
  435. {
  436. { 0, 0, 0, 0 },
  437. { { MNEM, ' ', OP (DR), ',', OP (HASH), OP (PAG), OP (UIMM3), 0 } },
  438. & ifmt_addrhpof3, { 0x28 }
  439. },
  440. /* addb $drb,$hash$pof$uimm3 */
  441. {
  442. { 0, 0, 0, 0 },
  443. { { MNEM, ' ', OP (DRB), ',', OP (HASH), OP (POF), OP (UIMM3), 0 } },
  444. & ifmt_addbrhpag3, { 0x9 }
  445. },
  446. /* subb $drb,$hash$pof$uimm3 */
  447. {
  448. { 0, 0, 0, 0 },
  449. { { MNEM, ' ', OP (DRB), ',', OP (HASH), OP (POF), OP (UIMM3), 0 } },
  450. & ifmt_addbrhpag3, { 0x29 }
  451. },
  452. /* addb $regb8,$hash$pof$uimm8 */
  453. {
  454. { 0, 0, 0, 0 },
  455. { { MNEM, ' ', OP (REGB8), ',', OP (HASH), OP (POF), OP (UIMM8), 0 } },
  456. & ifmt_addrbhpof, { 0x7 }
  457. },
  458. /* subb $regb8,$hash$pof$uimm8 */
  459. {
  460. { 0, 0, 0, 0 },
  461. { { MNEM, ' ', OP (REGB8), ',', OP (HASH), OP (POF), OP (UIMM8), 0 } },
  462. & ifmt_addrbhpof, { 0x27 }
  463. },
  464. /* addb $regb8,$hash$pag$uimm8 */
  465. {
  466. { 0, 0, 0, 0 },
  467. { { MNEM, ' ', OP (REGB8), ',', OP (HASH), OP (PAG), OP (UIMM8), 0 } },
  468. & ifmt_addrbhpof, { 0x7 }
  469. },
  470. /* subb $regb8,$hash$pag$uimm8 */
  471. {
  472. { 0, 0, 0, 0 },
  473. { { MNEM, ' ', OP (REGB8), ',', OP (HASH), OP (PAG), OP (UIMM8), 0 } },
  474. & ifmt_addrbhpof, { 0x27 }
  475. },
  476. /* addc $reg8,$hash$pof$uimm16 */
  477. {
  478. { 0, 0, 0, 0 },
  479. { { MNEM, ' ', OP (REG8), ',', OP (HASH), OP (POF), OP (UIMM16), 0 } },
  480. & ifmt_addrhpof, { 0x16 }
  481. },
  482. /* subc $reg8,$hash$pof$uimm16 */
  483. {
  484. { 0, 0, 0, 0 },
  485. { { MNEM, ' ', OP (REG8), ',', OP (HASH), OP (POF), OP (UIMM16), 0 } },
  486. & ifmt_addrhpof, { 0x36 }
  487. },
  488. /* addc $reg8,$hash$pag$uimm16 */
  489. {
  490. { 0, 0, 0, 0 },
  491. { { MNEM, ' ', OP (REG8), ',', OP (HASH), OP (PAG), OP (UIMM16), 0 } },
  492. & ifmt_addrhpof, { 0x16 }
  493. },
  494. /* subc $reg8,$hash$pag$uimm16 */
  495. {
  496. { 0, 0, 0, 0 },
  497. { { MNEM, ' ', OP (REG8), ',', OP (HASH), OP (PAG), OP (UIMM16), 0 } },
  498. & ifmt_addrhpof, { 0x36 }
  499. },
  500. /* addc $dr,$hash$pof$uimm3 */
  501. {
  502. { 0, 0, 0, 0 },
  503. { { MNEM, ' ', OP (DR), ',', OP (HASH), OP (POF), OP (UIMM3), 0 } },
  504. & ifmt_addrhpof3, { 0x18 }
  505. },
  506. /* subc $dr,$hash$pof$uimm3 */
  507. {
  508. { 0, 0, 0, 0 },
  509. { { MNEM, ' ', OP (DR), ',', OP (HASH), OP (POF), OP (UIMM3), 0 } },
  510. & ifmt_addrhpof3, { 0x38 }
  511. },
  512. /* addcb $drb,$hash$pag$uimm3 */
  513. {
  514. { 0, 0, 0, 0 },
  515. { { MNEM, ' ', OP (DRB), ',', OP (HASH), OP (PAG), OP (UIMM3), 0 } },
  516. & ifmt_addbrhpag3, { 0x19 }
  517. },
  518. /* subcb $drb,$hash$pag$uimm3 */
  519. {
  520. { 0, 0, 0, 0 },
  521. { { MNEM, ' ', OP (DRB), ',', OP (HASH), OP (PAG), OP (UIMM3), 0 } },
  522. & ifmt_addbrhpag3, { 0x39 }
  523. },
  524. /* addc $dr,$hash$pag$uimm3 */
  525. {
  526. { 0, 0, 0, 0 },
  527. { { MNEM, ' ', OP (DR), ',', OP (HASH), OP (PAG), OP (UIMM3), 0 } },
  528. & ifmt_addrhpof3, { 0x18 }
  529. },
  530. /* subc $dr,$hash$pag$uimm3 */
  531. {
  532. { 0, 0, 0, 0 },
  533. { { MNEM, ' ', OP (DR), ',', OP (HASH), OP (PAG), OP (UIMM3), 0 } },
  534. & ifmt_addrhpof3, { 0x38 }
  535. },
  536. /* addcb $drb,$hash$pof$uimm3 */
  537. {
  538. { 0, 0, 0, 0 },
  539. { { MNEM, ' ', OP (DRB), ',', OP (HASH), OP (POF), OP (UIMM3), 0 } },
  540. & ifmt_addbrhpag3, { 0x19 }
  541. },
  542. /* subcb $drb,$hash$pof$uimm3 */
  543. {
  544. { 0, 0, 0, 0 },
  545. { { MNEM, ' ', OP (DRB), ',', OP (HASH), OP (POF), OP (UIMM3), 0 } },
  546. & ifmt_addbrhpag3, { 0x39 }
  547. },
  548. /* addcb $regb8,$hash$pof$uimm8 */
  549. {
  550. { 0, 0, 0, 0 },
  551. { { MNEM, ' ', OP (REGB8), ',', OP (HASH), OP (POF), OP (UIMM8), 0 } },
  552. & ifmt_addrbhpof, { 0x17 }
  553. },
  554. /* subcb $regb8,$hash$pof$uimm8 */
  555. {
  556. { 0, 0, 0, 0 },
  557. { { MNEM, ' ', OP (REGB8), ',', OP (HASH), OP (POF), OP (UIMM8), 0 } },
  558. & ifmt_addrbhpof, { 0x37 }
  559. },
  560. /* addcb $regb8,$hash$pag$uimm8 */
  561. {
  562. { 0, 0, 0, 0 },
  563. { { MNEM, ' ', OP (REGB8), ',', OP (HASH), OP (PAG), OP (UIMM8), 0 } },
  564. & ifmt_addrbhpof, { 0x17 }
  565. },
  566. /* subcb $regb8,$hash$pag$uimm8 */
  567. {
  568. { 0, 0, 0, 0 },
  569. { { MNEM, ' ', OP (REGB8), ',', OP (HASH), OP (PAG), OP (UIMM8), 0 } },
  570. & ifmt_addrbhpof, { 0x37 }
  571. },
  572. /* add $dr,$hash$uimm3 */
  573. {
  574. { 0, 0, 0, 0 },
  575. { { MNEM, ' ', OP (DR), ',', OP (HASH), OP (UIMM3), 0 } },
  576. & ifmt_addrhpof3, { 0x8 }
  577. },
  578. /* sub $dr,$hash$uimm3 */
  579. {
  580. { 0, 0, 0, 0 },
  581. { { MNEM, ' ', OP (DR), ',', OP (HASH), OP (UIMM3), 0 } },
  582. & ifmt_addrhpof3, { 0x28 }
  583. },
  584. /* addb $drb,$hash$uimm3 */
  585. {
  586. { 0, 0, 0, 0 },
  587. { { MNEM, ' ', OP (DRB), ',', OP (HASH), OP (UIMM3), 0 } },
  588. & ifmt_addbrhpag3, { 0x9 }
  589. },
  590. /* subb $drb,$hash$uimm3 */
  591. {
  592. { 0, 0, 0, 0 },
  593. { { MNEM, ' ', OP (DRB), ',', OP (HASH), OP (UIMM3), 0 } },
  594. & ifmt_addbrhpag3, { 0x29 }
  595. },
  596. /* add $reg8,$hash$uimm16 */
  597. {
  598. { 0, 0, 0, 0 },
  599. { { MNEM, ' ', OP (REG8), ',', OP (HASH), OP (UIMM16), 0 } },
  600. & ifmt_addrhpof, { 0x6 }
  601. },
  602. /* sub $reg8,$hash$uimm16 */
  603. {
  604. { 0, 0, 0, 0 },
  605. { { MNEM, ' ', OP (REG8), ',', OP (HASH), OP (UIMM16), 0 } },
  606. & ifmt_addrhpof, { 0x26 }
  607. },
  608. /* addb $regb8,$hash$uimm8 */
  609. {
  610. { 0, 0, 0, 0 },
  611. { { MNEM, ' ', OP (REGB8), ',', OP (HASH), OP (UIMM8), 0 } },
  612. & ifmt_addrbhpof, { 0x7 }
  613. },
  614. /* subb $regb8,$hash$uimm8 */
  615. {
  616. { 0, 0, 0, 0 },
  617. { { MNEM, ' ', OP (REGB8), ',', OP (HASH), OP (UIMM8), 0 } },
  618. & ifmt_addrbhpof, { 0x27 }
  619. },
  620. /* addc $dr,$hash$uimm3 */
  621. {
  622. { 0, 0, 0, 0 },
  623. { { MNEM, ' ', OP (DR), ',', OP (HASH), OP (UIMM3), 0 } },
  624. & ifmt_addrhpof3, { 0x18 }
  625. },
  626. /* subc $dr,$hash$uimm3 */
  627. {
  628. { 0, 0, 0, 0 },
  629. { { MNEM, ' ', OP (DR), ',', OP (HASH), OP (UIMM3), 0 } },
  630. & ifmt_addrhpof3, { 0x38 }
  631. },
  632. /* addcb $drb,$hash$uimm3 */
  633. {
  634. { 0, 0, 0, 0 },
  635. { { MNEM, ' ', OP (DRB), ',', OP (HASH), OP (UIMM3), 0 } },
  636. & ifmt_addbrhpag3, { 0x19 }
  637. },
  638. /* subcb $drb,$hash$uimm3 */
  639. {
  640. { 0, 0, 0, 0 },
  641. { { MNEM, ' ', OP (DRB), ',', OP (HASH), OP (UIMM3), 0 } },
  642. & ifmt_addbrhpag3, { 0x39 }
  643. },
  644. /* addc $reg8,$hash$uimm16 */
  645. {
  646. { 0, 0, 0, 0 },
  647. { { MNEM, ' ', OP (REG8), ',', OP (HASH), OP (UIMM16), 0 } },
  648. & ifmt_addrhpof, { 0x16 }
  649. },
  650. /* subc $reg8,$hash$uimm16 */
  651. {
  652. { 0, 0, 0, 0 },
  653. { { MNEM, ' ', OP (REG8), ',', OP (HASH), OP (UIMM16), 0 } },
  654. & ifmt_addrhpof, { 0x36 }
  655. },
  656. /* addcb $regb8,$hash$uimm8 */
  657. {
  658. { 0, 0, 0, 0 },
  659. { { MNEM, ' ', OP (REGB8), ',', OP (HASH), OP (UIMM8), 0 } },
  660. & ifmt_addrbhpof, { 0x17 }
  661. },
  662. /* subcb $regb8,$hash$uimm8 */
  663. {
  664. { 0, 0, 0, 0 },
  665. { { MNEM, ' ', OP (REGB8), ',', OP (HASH), OP (UIMM8), 0 } },
  666. & ifmt_addrbhpof, { 0x37 }
  667. },
  668. /* add $dr,$sr */
  669. {
  670. { 0, 0, 0, 0 },
  671. { { MNEM, ' ', OP (DR), ',', OP (SR), 0 } },
  672. & ifmt_addr, { 0x0 }
  673. },
  674. /* sub $dr,$sr */
  675. {
  676. { 0, 0, 0, 0 },
  677. { { MNEM, ' ', OP (DR), ',', OP (SR), 0 } },
  678. & ifmt_addr, { 0x20 }
  679. },
  680. /* addb $drb,$srb */
  681. {
  682. { 0, 0, 0, 0 },
  683. { { MNEM, ' ', OP (DRB), ',', OP (SRB), 0 } },
  684. & ifmt_addbr, { 0x1 }
  685. },
  686. /* subb $drb,$srb */
  687. {
  688. { 0, 0, 0, 0 },
  689. { { MNEM, ' ', OP (DRB), ',', OP (SRB), 0 } },
  690. & ifmt_addbr, { 0x21 }
  691. },
  692. /* add $dr,[$sr2] */
  693. {
  694. { 0, 0, 0, 0 },
  695. { { MNEM, ' ', OP (DR), ',', '[', OP (SR2), ']', 0 } },
  696. & ifmt_add2, { 0x808 }
  697. },
  698. /* sub $dr,[$sr2] */
  699. {
  700. { 0, 0, 0, 0 },
  701. { { MNEM, ' ', OP (DR), ',', '[', OP (SR2), ']', 0 } },
  702. & ifmt_add2, { 0x828 }
  703. },
  704. /* addb $drb,[$sr2] */
  705. {
  706. { 0, 0, 0, 0 },
  707. { { MNEM, ' ', OP (DRB), ',', '[', OP (SR2), ']', 0 } },
  708. & ifmt_addb2, { 0x809 }
  709. },
  710. /* subb $drb,[$sr2] */
  711. {
  712. { 0, 0, 0, 0 },
  713. { { MNEM, ' ', OP (DRB), ',', '[', OP (SR2), ']', 0 } },
  714. & ifmt_addb2, { 0x829 }
  715. },
  716. /* add $dr,[$sr2+] */
  717. {
  718. { 0, 0, 0, 0 },
  719. { { MNEM, ' ', OP (DR), ',', '[', OP (SR2), '+', ']', 0 } },
  720. & ifmt_add2, { 0xc08 }
  721. },
  722. /* sub $dr,[$sr2+] */
  723. {
  724. { 0, 0, 0, 0 },
  725. { { MNEM, ' ', OP (DR), ',', '[', OP (SR2), '+', ']', 0 } },
  726. & ifmt_add2, { 0xc28 }
  727. },
  728. /* addb $drb,[$sr2+] */
  729. {
  730. { 0, 0, 0, 0 },
  731. { { MNEM, ' ', OP (DRB), ',', '[', OP (SR2), '+', ']', 0 } },
  732. & ifmt_addb2, { 0xc09 }
  733. },
  734. /* subb $drb,[$sr2+] */
  735. {
  736. { 0, 0, 0, 0 },
  737. { { MNEM, ' ', OP (DRB), ',', '[', OP (SR2), '+', ']', 0 } },
  738. & ifmt_addb2, { 0xc29 }
  739. },
  740. /* addc $dr,$sr */
  741. {
  742. { 0, 0, 0, 0 },
  743. { { MNEM, ' ', OP (DR), ',', OP (SR), 0 } },
  744. & ifmt_addr, { 0x10 }
  745. },
  746. /* subc $dr,$sr */
  747. {
  748. { 0, 0, 0, 0 },
  749. { { MNEM, ' ', OP (DR), ',', OP (SR), 0 } },
  750. & ifmt_addr, { 0x30 }
  751. },
  752. /* addcb $drb,$srb */
  753. {
  754. { 0, 0, 0, 0 },
  755. { { MNEM, ' ', OP (DRB), ',', OP (SRB), 0 } },
  756. & ifmt_addbr, { 0x11 }
  757. },
  758. /* subcb $drb,$srb */
  759. {
  760. { 0, 0, 0, 0 },
  761. { { MNEM, ' ', OP (DRB), ',', OP (SRB), 0 } },
  762. & ifmt_addbr, { 0x31 }
  763. },
  764. /* addc $dr,[$sr2] */
  765. {
  766. { 0, 0, 0, 0 },
  767. { { MNEM, ' ', OP (DR), ',', '[', OP (SR2), ']', 0 } },
  768. & ifmt_add2, { 0x818 }
  769. },
  770. /* subc $dr,[$sr2] */
  771. {
  772. { 0, 0, 0, 0 },
  773. { { MNEM, ' ', OP (DR), ',', '[', OP (SR2), ']', 0 } },
  774. & ifmt_add2, { 0x838 }
  775. },
  776. /* addcb $drb,[$sr2] */
  777. {
  778. { 0, 0, 0, 0 },
  779. { { MNEM, ' ', OP (DRB), ',', '[', OP (SR2), ']', 0 } },
  780. & ifmt_addb2, { 0x819 }
  781. },
  782. /* subcb $drb,[$sr2] */
  783. {
  784. { 0, 0, 0, 0 },
  785. { { MNEM, ' ', OP (DRB), ',', '[', OP (SR2), ']', 0 } },
  786. & ifmt_addb2, { 0x839 }
  787. },
  788. /* addc $dr,[$sr2+] */
  789. {
  790. { 0, 0, 0, 0 },
  791. { { MNEM, ' ', OP (DR), ',', '[', OP (SR2), '+', ']', 0 } },
  792. & ifmt_add2, { 0xc18 }
  793. },
  794. /* subc $dr,[$sr2+] */
  795. {
  796. { 0, 0, 0, 0 },
  797. { { MNEM, ' ', OP (DR), ',', '[', OP (SR2), '+', ']', 0 } },
  798. & ifmt_add2, { 0xc38 }
  799. },
  800. /* addcb $drb,[$sr2+] */
  801. {
  802. { 0, 0, 0, 0 },
  803. { { MNEM, ' ', OP (DRB), ',', '[', OP (SR2), '+', ']', 0 } },
  804. & ifmt_addb2, { 0xc19 }
  805. },
  806. /* subcb $drb,[$sr2+] */
  807. {
  808. { 0, 0, 0, 0 },
  809. { { MNEM, ' ', OP (DRB), ',', '[', OP (SR2), '+', ']', 0 } },
  810. & ifmt_addb2, { 0xc39 }
  811. },
  812. /* add $regmem8,$memgr8 */
  813. {
  814. { 0, 0, 0, 0 },
  815. { { MNEM, ' ', OP (REGMEM8), ',', OP (MEMGR8), 0 } },
  816. & ifmt_addrm2, { 0x2 }
  817. },
  818. /* add $memgr8,$regmem8 */
  819. {
  820. { 0, 0, 0, 0 },
  821. { { MNEM, ' ', OP (MEMGR8), ',', OP (REGMEM8), 0 } },
  822. & ifmt_addrm2, { 0x4 }
  823. },
  824. /* add $reg8,$memory */
  825. {
  826. { 0, 0, 0, 0 },
  827. { { MNEM, ' ', OP (REG8), ',', OP (MEMORY), 0 } },
  828. & ifmt_addrm, { 0x2 }
  829. },
  830. /* add $memory,$reg8 */
  831. {
  832. { 0, 0, 0, 0 },
  833. { { MNEM, ' ', OP (MEMORY), ',', OP (REG8), 0 } },
  834. & ifmt_addrm, { 0x4 }
  835. },
  836. /* sub $regmem8,$memgr8 */
  837. {
  838. { 0, 0, 0, 0 },
  839. { { MNEM, ' ', OP (REGMEM8), ',', OP (MEMGR8), 0 } },
  840. & ifmt_addrm2, { 0x22 }
  841. },
  842. /* sub $memgr8,$regmem8 */
  843. {
  844. { 0, 0, 0, 0 },
  845. { { MNEM, ' ', OP (MEMGR8), ',', OP (REGMEM8), 0 } },
  846. & ifmt_addrm2, { 0x24 }
  847. },
  848. /* sub $reg8,$memory */
  849. {
  850. { 0, 0, 0, 0 },
  851. { { MNEM, ' ', OP (REG8), ',', OP (MEMORY), 0 } },
  852. & ifmt_addrm, { 0x22 }
  853. },
  854. /* sub $memory,$reg8 */
  855. {
  856. { 0, 0, 0, 0 },
  857. { { MNEM, ' ', OP (MEMORY), ',', OP (REG8), 0 } },
  858. & ifmt_addrm, { 0x24 }
  859. },
  860. /* addb $regbmem8,$memgr8 */
  861. {
  862. { 0, 0, 0, 0 },
  863. { { MNEM, ' ', OP (REGBMEM8), ',', OP (MEMGR8), 0 } },
  864. & ifmt_addbrm2, { 0x3 }
  865. },
  866. /* addb $memgr8,$regbmem8 */
  867. {
  868. { 0, 0, 0, 0 },
  869. { { MNEM, ' ', OP (MEMGR8), ',', OP (REGBMEM8), 0 } },
  870. & ifmt_addbrm2, { 0x5 }
  871. },
  872. /* addb $regb8,$memory */
  873. {
  874. { 0, 0, 0, 0 },
  875. { { MNEM, ' ', OP (REGB8), ',', OP (MEMORY), 0 } },
  876. & ifmt_addbrm, { 0x3 }
  877. },
  878. /* addb $memory,$regb8 */
  879. {
  880. { 0, 0, 0, 0 },
  881. { { MNEM, ' ', OP (MEMORY), ',', OP (REGB8), 0 } },
  882. & ifmt_addbrm, { 0x5 }
  883. },
  884. /* subb $regbmem8,$memgr8 */
  885. {
  886. { 0, 0, 0, 0 },
  887. { { MNEM, ' ', OP (REGBMEM8), ',', OP (MEMGR8), 0 } },
  888. & ifmt_addbrm2, { 0x23 }
  889. },
  890. /* subb $memgr8,$regbmem8 */
  891. {
  892. { 0, 0, 0, 0 },
  893. { { MNEM, ' ', OP (MEMGR8), ',', OP (REGBMEM8), 0 } },
  894. & ifmt_addbrm2, { 0x25 }
  895. },
  896. /* subb $regb8,$memory */
  897. {
  898. { 0, 0, 0, 0 },
  899. { { MNEM, ' ', OP (REGB8), ',', OP (MEMORY), 0 } },
  900. & ifmt_addbrm, { 0x23 }
  901. },
  902. /* subb $memory,$regb8 */
  903. {
  904. { 0, 0, 0, 0 },
  905. { { MNEM, ' ', OP (MEMORY), ',', OP (REGB8), 0 } },
  906. & ifmt_addbrm, { 0x25 }
  907. },
  908. /* addc $regmem8,$memgr8 */
  909. {
  910. { 0, 0, 0, 0 },
  911. { { MNEM, ' ', OP (REGMEM8), ',', OP (MEMGR8), 0 } },
  912. & ifmt_addrm2, { 0x12 }
  913. },
  914. /* addc $memgr8,$regmem8 */
  915. {
  916. { 0, 0, 0, 0 },
  917. { { MNEM, ' ', OP (MEMGR8), ',', OP (REGMEM8), 0 } },
  918. & ifmt_addrm2, { 0x14 }
  919. },
  920. /* addc $reg8,$memory */
  921. {
  922. { 0, 0, 0, 0 },
  923. { { MNEM, ' ', OP (REG8), ',', OP (MEMORY), 0 } },
  924. & ifmt_addrm, { 0x12 }
  925. },
  926. /* addc $memory,$reg8 */
  927. {
  928. { 0, 0, 0, 0 },
  929. { { MNEM, ' ', OP (MEMORY), ',', OP (REG8), 0 } },
  930. & ifmt_addrm, { 0x14 }
  931. },
  932. /* subc $regmem8,$memgr8 */
  933. {
  934. { 0, 0, 0, 0 },
  935. { { MNEM, ' ', OP (REGMEM8), ',', OP (MEMGR8), 0 } },
  936. & ifmt_addrm2, { 0x32 }
  937. },
  938. /* subc $memgr8,$regmem8 */
  939. {
  940. { 0, 0, 0, 0 },
  941. { { MNEM, ' ', OP (MEMGR8), ',', OP (REGMEM8), 0 } },
  942. & ifmt_addrm2, { 0x34 }
  943. },
  944. /* subc $reg8,$memory */
  945. {
  946. { 0, 0, 0, 0 },
  947. { { MNEM, ' ', OP (REG8), ',', OP (MEMORY), 0 } },
  948. & ifmt_addrm, { 0x32 }
  949. },
  950. /* subc $memory,$reg8 */
  951. {
  952. { 0, 0, 0, 0 },
  953. { { MNEM, ' ', OP (MEMORY), ',', OP (REG8), 0 } },
  954. & ifmt_addrm, { 0x34 }
  955. },
  956. /* addcb $regbmem8,$memgr8 */
  957. {
  958. { 0, 0, 0, 0 },
  959. { { MNEM, ' ', OP (REGBMEM8), ',', OP (MEMGR8), 0 } },
  960. & ifmt_addbrm2, { 0x13 }
  961. },
  962. /* addcb $memgr8,$regbmem8 */
  963. {
  964. { 0, 0, 0, 0 },
  965. { { MNEM, ' ', OP (MEMGR8), ',', OP (REGBMEM8), 0 } },
  966. & ifmt_addbrm2, { 0x15 }
  967. },
  968. /* addcb $regb8,$memory */
  969. {
  970. { 0, 0, 0, 0 },
  971. { { MNEM, ' ', OP (REGB8), ',', OP (MEMORY), 0 } },
  972. & ifmt_addbrm, { 0x13 }
  973. },
  974. /* addcb $memory,$regb8 */
  975. {
  976. { 0, 0, 0, 0 },
  977. { { MNEM, ' ', OP (MEMORY), ',', OP (REGB8), 0 } },
  978. & ifmt_addbrm, { 0x15 }
  979. },
  980. /* subcb $regbmem8,$memgr8 */
  981. {
  982. { 0, 0, 0, 0 },
  983. { { MNEM, ' ', OP (REGBMEM8), ',', OP (MEMGR8), 0 } },
  984. & ifmt_addbrm2, { 0x33 }
  985. },
  986. /* subcb $memgr8,$regbmem8 */
  987. {
  988. { 0, 0, 0, 0 },
  989. { { MNEM, ' ', OP (MEMGR8), ',', OP (REGBMEM8), 0 } },
  990. & ifmt_addbrm2, { 0x35 }
  991. },
  992. /* subcb $regb8,$memory */
  993. {
  994. { 0, 0, 0, 0 },
  995. { { MNEM, ' ', OP (REGB8), ',', OP (MEMORY), 0 } },
  996. & ifmt_addbrm, { 0x33 }
  997. },
  998. /* subcb $memory,$regb8 */
  999. {
  1000. { 0, 0, 0, 0 },
  1001. { { MNEM, ' ', OP (MEMORY), ',', OP (REGB8), 0 } },
  1002. & ifmt_addbrm, { 0x35 }
  1003. },
  1004. /* mul $src1,$src2 */
  1005. {
  1006. { 0, 0, 0, 0 },
  1007. { { MNEM, ' ', OP (SRC1), ',', OP (SRC2), 0 } },
  1008. & ifmt_muls, { 0xb }
  1009. },
  1010. /* mulu $src1,$src2 */
  1011. {
  1012. { 0, 0, 0, 0 },
  1013. { { MNEM, ' ', OP (SRC1), ',', OP (SRC2), 0 } },
  1014. & ifmt_muls, { 0x1b }
  1015. },
  1016. /* div $srdiv */
  1017. {
  1018. { 0, 0, 0, 0 },
  1019. { { MNEM, ' ', OP (SRDIV), 0 } },
  1020. & ifmt_div, { 0x4b }
  1021. },
  1022. /* divl $srdiv */
  1023. {
  1024. { 0, 0, 0, 0 },
  1025. { { MNEM, ' ', OP (SRDIV), 0 } },
  1026. & ifmt_div, { 0x6b }
  1027. },
  1028. /* divlu $srdiv */
  1029. {
  1030. { 0, 0, 0, 0 },
  1031. { { MNEM, ' ', OP (SRDIV), 0 } },
  1032. & ifmt_div, { 0x7b }
  1033. },
  1034. /* divu $srdiv */
  1035. {
  1036. { 0, 0, 0, 0 },
  1037. { { MNEM, ' ', OP (SRDIV), 0 } },
  1038. & ifmt_div, { 0x5b }
  1039. },
  1040. /* cpl $dr */
  1041. {
  1042. { 0, 0, 0, 0 },
  1043. { { MNEM, ' ', OP (DR), 0 } },
  1044. & ifmt_cpl, { 0x91 }
  1045. },
  1046. /* cplb $drb */
  1047. {
  1048. { 0, 0, 0, 0 },
  1049. { { MNEM, ' ', OP (DRB), 0 } },
  1050. & ifmt_cplb, { 0xb1 }
  1051. },
  1052. /* neg $dr */
  1053. {
  1054. { 0, 0, 0, 0 },
  1055. { { MNEM, ' ', OP (DR), 0 } },
  1056. & ifmt_cpl, { 0x81 }
  1057. },
  1058. /* negb $drb */
  1059. {
  1060. { 0, 0, 0, 0 },
  1061. { { MNEM, ' ', OP (DRB), 0 } },
  1062. & ifmt_cplb, { 0xa1 }
  1063. },
  1064. /* and $dr,$sr */
  1065. {
  1066. { 0, 0, 0, 0 },
  1067. { { MNEM, ' ', OP (DR), ',', OP (SR), 0 } },
  1068. & ifmt_addr, { 0x60 }
  1069. },
  1070. /* or $dr,$sr */
  1071. {
  1072. { 0, 0, 0, 0 },
  1073. { { MNEM, ' ', OP (DR), ',', OP (SR), 0 } },
  1074. & ifmt_addr, { 0x70 }
  1075. },
  1076. /* xor $dr,$sr */
  1077. {
  1078. { 0, 0, 0, 0 },
  1079. { { MNEM, ' ', OP (DR), ',', OP (SR), 0 } },
  1080. & ifmt_addr, { 0x50 }
  1081. },
  1082. /* andb $drb,$srb */
  1083. {
  1084. { 0, 0, 0, 0 },
  1085. { { MNEM, ' ', OP (DRB), ',', OP (SRB), 0 } },
  1086. & ifmt_addbr, { 0x61 }
  1087. },
  1088. /* orb $drb,$srb */
  1089. {
  1090. { 0, 0, 0, 0 },
  1091. { { MNEM, ' ', OP (DRB), ',', OP (SRB), 0 } },
  1092. & ifmt_addbr, { 0x71 }
  1093. },
  1094. /* xorb $drb,$srb */
  1095. {
  1096. { 0, 0, 0, 0 },
  1097. { { MNEM, ' ', OP (DRB), ',', OP (SRB), 0 } },
  1098. & ifmt_addbr, { 0x51 }
  1099. },
  1100. /* and $dr,$hash$uimm3 */
  1101. {
  1102. { 0, 0, 0, 0 },
  1103. { { MNEM, ' ', OP (DR), ',', OP (HASH), OP (UIMM3), 0 } },
  1104. & ifmt_addrhpof3, { 0x68 }
  1105. },
  1106. /* or $dr,$hash$uimm3 */
  1107. {
  1108. { 0, 0, 0, 0 },
  1109. { { MNEM, ' ', OP (DR), ',', OP (HASH), OP (UIMM3), 0 } },
  1110. & ifmt_addrhpof3, { 0x78 }
  1111. },
  1112. /* xor $dr,$hash$uimm3 */
  1113. {
  1114. { 0, 0, 0, 0 },
  1115. { { MNEM, ' ', OP (DR), ',', OP (HASH), OP (UIMM3), 0 } },
  1116. & ifmt_addrhpof3, { 0x58 }
  1117. },
  1118. /* andb $drb,$hash$uimm3 */
  1119. {
  1120. { 0, 0, 0, 0 },
  1121. { { MNEM, ' ', OP (DRB), ',', OP (HASH), OP (UIMM3), 0 } },
  1122. & ifmt_addbrhpag3, { 0x69 }
  1123. },
  1124. /* orb $drb,$hash$uimm3 */
  1125. {
  1126. { 0, 0, 0, 0 },
  1127. { { MNEM, ' ', OP (DRB), ',', OP (HASH), OP (UIMM3), 0 } },
  1128. & ifmt_addbrhpag3, { 0x79 }
  1129. },
  1130. /* xorb $drb,$hash$uimm3 */
  1131. {
  1132. { 0, 0, 0, 0 },
  1133. { { MNEM, ' ', OP (DRB), ',', OP (HASH), OP (UIMM3), 0 } },
  1134. & ifmt_addbrhpag3, { 0x59 }
  1135. },
  1136. /* and $reg8,$hash$uimm16 */
  1137. {
  1138. { 0, 0, 0, 0 },
  1139. { { MNEM, ' ', OP (REG8), ',', OP (HASH), OP (UIMM16), 0 } },
  1140. & ifmt_addrhpof, { 0x66 }
  1141. },
  1142. /* or $reg8,$hash$uimm16 */
  1143. {
  1144. { 0, 0, 0, 0 },
  1145. { { MNEM, ' ', OP (REG8), ',', OP (HASH), OP (UIMM16), 0 } },
  1146. & ifmt_addrhpof, { 0x76 }
  1147. },
  1148. /* xor $reg8,$hash$uimm16 */
  1149. {
  1150. { 0, 0, 0, 0 },
  1151. { { MNEM, ' ', OP (REG8), ',', OP (HASH), OP (UIMM16), 0 } },
  1152. & ifmt_addrhpof, { 0x56 }
  1153. },
  1154. /* andb $regb8,$hash$uimm8 */
  1155. {
  1156. { 0, 0, 0, 0 },
  1157. { { MNEM, ' ', OP (REGB8), ',', OP (HASH), OP (UIMM8), 0 } },
  1158. & ifmt_addrbhpof, { 0x67 }
  1159. },
  1160. /* orb $regb8,$hash$uimm8 */
  1161. {
  1162. { 0, 0, 0, 0 },
  1163. { { MNEM, ' ', OP (REGB8), ',', OP (HASH), OP (UIMM8), 0 } },
  1164. & ifmt_addrbhpof, { 0x77 }
  1165. },
  1166. /* xorb $regb8,$hash$uimm8 */
  1167. {
  1168. { 0, 0, 0, 0 },
  1169. { { MNEM, ' ', OP (REGB8), ',', OP (HASH), OP (UIMM8), 0 } },
  1170. & ifmt_addrbhpof, { 0x57 }
  1171. },
  1172. /* and $dr,[$sr2] */
  1173. {
  1174. { 0, 0, 0, 0 },
  1175. { { MNEM, ' ', OP (DR), ',', '[', OP (SR2), ']', 0 } },
  1176. & ifmt_add2, { 0x868 }
  1177. },
  1178. /* or $dr,[$sr2] */
  1179. {
  1180. { 0, 0, 0, 0 },
  1181. { { MNEM, ' ', OP (DR), ',', '[', OP (SR2), ']', 0 } },
  1182. & ifmt_add2, { 0x878 }
  1183. },
  1184. /* xor $dr,[$sr2] */
  1185. {
  1186. { 0, 0, 0, 0 },
  1187. { { MNEM, ' ', OP (DR), ',', '[', OP (SR2), ']', 0 } },
  1188. & ifmt_add2, { 0x858 }
  1189. },
  1190. /* andb $drb,[$sr2] */
  1191. {
  1192. { 0, 0, 0, 0 },
  1193. { { MNEM, ' ', OP (DRB), ',', '[', OP (SR2), ']', 0 } },
  1194. & ifmt_addb2, { 0x869 }
  1195. },
  1196. /* orb $drb,[$sr2] */
  1197. {
  1198. { 0, 0, 0, 0 },
  1199. { { MNEM, ' ', OP (DRB), ',', '[', OP (SR2), ']', 0 } },
  1200. & ifmt_addb2, { 0x879 }
  1201. },
  1202. /* xorb $drb,[$sr2] */
  1203. {
  1204. { 0, 0, 0, 0 },
  1205. { { MNEM, ' ', OP (DRB), ',', '[', OP (SR2), ']', 0 } },
  1206. & ifmt_addb2, { 0x859 }
  1207. },
  1208. /* and $dr,[$sr2+] */
  1209. {
  1210. { 0, 0, 0, 0 },
  1211. { { MNEM, ' ', OP (DR), ',', '[', OP (SR2), '+', ']', 0 } },
  1212. & ifmt_add2, { 0xc68 }
  1213. },
  1214. /* or $dr,[$sr2+] */
  1215. {
  1216. { 0, 0, 0, 0 },
  1217. { { MNEM, ' ', OP (DR), ',', '[', OP (SR2), '+', ']', 0 } },
  1218. & ifmt_add2, { 0xc78 }
  1219. },
  1220. /* xor $dr,[$sr2+] */
  1221. {
  1222. { 0, 0, 0, 0 },
  1223. { { MNEM, ' ', OP (DR), ',', '[', OP (SR2), '+', ']', 0 } },
  1224. & ifmt_add2, { 0xc58 }
  1225. },
  1226. /* andb $drb,[$sr2+] */
  1227. {
  1228. { 0, 0, 0, 0 },
  1229. { { MNEM, ' ', OP (DRB), ',', '[', OP (SR2), '+', ']', 0 } },
  1230. & ifmt_addb2, { 0xc69 }
  1231. },
  1232. /* orb $drb,[$sr2+] */
  1233. {
  1234. { 0, 0, 0, 0 },
  1235. { { MNEM, ' ', OP (DRB), ',', '[', OP (SR2), '+', ']', 0 } },
  1236. & ifmt_addb2, { 0xc79 }
  1237. },
  1238. /* xorb $drb,[$sr2+] */
  1239. {
  1240. { 0, 0, 0, 0 },
  1241. { { MNEM, ' ', OP (DRB), ',', '[', OP (SR2), '+', ']', 0 } },
  1242. & ifmt_addb2, { 0xc59 }
  1243. },
  1244. /* and $pof$reg8,$upof16 */
  1245. {
  1246. { 0, 0, 0, 0 },
  1247. { { MNEM, ' ', OP (POF), OP (REG8), ',', OP (UPOF16), 0 } },
  1248. & ifmt_addrpof, { 0x62 }
  1249. },
  1250. /* or $pof$reg8,$upof16 */
  1251. {
  1252. { 0, 0, 0, 0 },
  1253. { { MNEM, ' ', OP (POF), OP (REG8), ',', OP (UPOF16), 0 } },
  1254. & ifmt_addrpof, { 0x72 }
  1255. },
  1256. /* xor $pof$reg8,$upof16 */
  1257. {
  1258. { 0, 0, 0, 0 },
  1259. { { MNEM, ' ', OP (POF), OP (REG8), ',', OP (UPOF16), 0 } },
  1260. & ifmt_addrpof, { 0x52 }
  1261. },
  1262. /* andb $pof$regb8,$upof16 */
  1263. {
  1264. { 0, 0, 0, 0 },
  1265. { { MNEM, ' ', OP (POF), OP (REGB8), ',', OP (UPOF16), 0 } },
  1266. & ifmt_addbrpof, { 0x63 }
  1267. },
  1268. /* orb $pof$regb8,$upof16 */
  1269. {
  1270. { 0, 0, 0, 0 },
  1271. { { MNEM, ' ', OP (POF), OP (REGB8), ',', OP (UPOF16), 0 } },
  1272. & ifmt_addbrpof, { 0x73 }
  1273. },
  1274. /* xorb $pof$regb8,$upof16 */
  1275. {
  1276. { 0, 0, 0, 0 },
  1277. { { MNEM, ' ', OP (POF), OP (REGB8), ',', OP (UPOF16), 0 } },
  1278. & ifmt_addbrpof, { 0x53 }
  1279. },
  1280. /* and $pof$upof16,$reg8 */
  1281. {
  1282. { 0, 0, 0, 0 },
  1283. { { MNEM, ' ', OP (POF), OP (UPOF16), ',', OP (REG8), 0 } },
  1284. & ifmt_addrpof, { 0x64 }
  1285. },
  1286. /* or $pof$upof16,$reg8 */
  1287. {
  1288. { 0, 0, 0, 0 },
  1289. { { MNEM, ' ', OP (POF), OP (UPOF16), ',', OP (REG8), 0 } },
  1290. & ifmt_addrpof, { 0x74 }
  1291. },
  1292. /* xor $pof$upof16,$reg8 */
  1293. {
  1294. { 0, 0, 0, 0 },
  1295. { { MNEM, ' ', OP (POF), OP (UPOF16), ',', OP (REG8), 0 } },
  1296. & ifmt_addrpof, { 0x54 }
  1297. },
  1298. /* andb $pof$upof16,$regb8 */
  1299. {
  1300. { 0, 0, 0, 0 },
  1301. { { MNEM, ' ', OP (POF), OP (UPOF16), ',', OP (REGB8), 0 } },
  1302. & ifmt_addbrpof, { 0x65 }
  1303. },
  1304. /* orb $pof$upof16,$regb8 */
  1305. {
  1306. { 0, 0, 0, 0 },
  1307. { { MNEM, ' ', OP (POF), OP (UPOF16), ',', OP (REGB8), 0 } },
  1308. & ifmt_addbrpof, { 0x75 }
  1309. },
  1310. /* xorb $pof$upof16,$regb8 */
  1311. {
  1312. { 0, 0, 0, 0 },
  1313. { { MNEM, ' ', OP (POF), OP (UPOF16), ',', OP (REGB8), 0 } },
  1314. & ifmt_addbrpof, { 0x55 }
  1315. },
  1316. /* and $regmem8,$memgr8 */
  1317. {
  1318. { 0, 0, 0, 0 },
  1319. { { MNEM, ' ', OP (REGMEM8), ',', OP (MEMGR8), 0 } },
  1320. & ifmt_addrm2, { 0x62 }
  1321. },
  1322. /* and $memgr8,$regmem8 */
  1323. {
  1324. { 0, 0, 0, 0 },
  1325. { { MNEM, ' ', OP (MEMGR8), ',', OP (REGMEM8), 0 } },
  1326. & ifmt_addrm2, { 0x64 }
  1327. },
  1328. /* and $reg8,$memory */
  1329. {
  1330. { 0, 0, 0, 0 },
  1331. { { MNEM, ' ', OP (REG8), ',', OP (MEMORY), 0 } },
  1332. & ifmt_addrm, { 0x62 }
  1333. },
  1334. /* and $memory,$reg8 */
  1335. {
  1336. { 0, 0, 0, 0 },
  1337. { { MNEM, ' ', OP (MEMORY), ',', OP (REG8), 0 } },
  1338. & ifmt_addrm, { 0x64 }
  1339. },
  1340. /* or $regmem8,$memgr8 */
  1341. {
  1342. { 0, 0, 0, 0 },
  1343. { { MNEM, ' ', OP (REGMEM8), ',', OP (MEMGR8), 0 } },
  1344. & ifmt_addrm2, { 0x72 }
  1345. },
  1346. /* or $memgr8,$regmem8 */
  1347. {
  1348. { 0, 0, 0, 0 },
  1349. { { MNEM, ' ', OP (MEMGR8), ',', OP (REGMEM8), 0 } },
  1350. & ifmt_addrm2, { 0x74 }
  1351. },
  1352. /* or $reg8,$memory */
  1353. {
  1354. { 0, 0, 0, 0 },
  1355. { { MNEM, ' ', OP (REG8), ',', OP (MEMORY), 0 } },
  1356. & ifmt_addrm, { 0x72 }
  1357. },
  1358. /* or $memory,$reg8 */
  1359. {
  1360. { 0, 0, 0, 0 },
  1361. { { MNEM, ' ', OP (MEMORY), ',', OP (REG8), 0 } },
  1362. & ifmt_addrm, { 0x74 }
  1363. },
  1364. /* xor $regmem8,$memgr8 */
  1365. {
  1366. { 0, 0, 0, 0 },
  1367. { { MNEM, ' ', OP (REGMEM8), ',', OP (MEMGR8), 0 } },
  1368. & ifmt_addrm2, { 0x52 }
  1369. },
  1370. /* xor $memgr8,$regmem8 */
  1371. {
  1372. { 0, 0, 0, 0 },
  1373. { { MNEM, ' ', OP (MEMGR8), ',', OP (REGMEM8), 0 } },
  1374. & ifmt_addrm2, { 0x54 }
  1375. },
  1376. /* xor $reg8,$memory */
  1377. {
  1378. { 0, 0, 0, 0 },
  1379. { { MNEM, ' ', OP (REG8), ',', OP (MEMORY), 0 } },
  1380. & ifmt_addrm, { 0x52 }
  1381. },
  1382. /* xor $memory,$reg8 */
  1383. {
  1384. { 0, 0, 0, 0 },
  1385. { { MNEM, ' ', OP (MEMORY), ',', OP (REG8), 0 } },
  1386. & ifmt_addrm, { 0x54 }
  1387. },
  1388. /* andb $regbmem8,$memgr8 */
  1389. {
  1390. { 0, 0, 0, 0 },
  1391. { { MNEM, ' ', OP (REGBMEM8), ',', OP (MEMGR8), 0 } },
  1392. & ifmt_addbrm2, { 0x63 }
  1393. },
  1394. /* andb $memgr8,$regbmem8 */
  1395. {
  1396. { 0, 0, 0, 0 },
  1397. { { MNEM, ' ', OP (MEMGR8), ',', OP (REGBMEM8), 0 } },
  1398. & ifmt_addbrm2, { 0x65 }
  1399. },
  1400. /* andb $regb8,$memory */
  1401. {
  1402. { 0, 0, 0, 0 },
  1403. { { MNEM, ' ', OP (REGB8), ',', OP (MEMORY), 0 } },
  1404. & ifmt_addbrm, { 0x63 }
  1405. },
  1406. /* andb $memory,$regb8 */
  1407. {
  1408. { 0, 0, 0, 0 },
  1409. { { MNEM, ' ', OP (MEMORY), ',', OP (REGB8), 0 } },
  1410. & ifmt_addbrm, { 0x65 }
  1411. },
  1412. /* orb $regbmem8,$memgr8 */
  1413. {
  1414. { 0, 0, 0, 0 },
  1415. { { MNEM, ' ', OP (REGBMEM8), ',', OP (MEMGR8), 0 } },
  1416. & ifmt_addbrm2, { 0x73 }
  1417. },
  1418. /* orb $memgr8,$regbmem8 */
  1419. {
  1420. { 0, 0, 0, 0 },
  1421. { { MNEM, ' ', OP (MEMGR8), ',', OP (REGBMEM8), 0 } },
  1422. & ifmt_addbrm2, { 0x75 }
  1423. },
  1424. /* orb $regb8,$memory */
  1425. {
  1426. { 0, 0, 0, 0 },
  1427. { { MNEM, ' ', OP (REGB8), ',', OP (MEMORY), 0 } },
  1428. & ifmt_addbrm, { 0x73 }
  1429. },
  1430. /* orb $memory,$regb8 */
  1431. {
  1432. { 0, 0, 0, 0 },
  1433. { { MNEM, ' ', OP (MEMORY), ',', OP (REGB8), 0 } },
  1434. & ifmt_addbrm, { 0x75 }
  1435. },
  1436. /* xorb $regbmem8,$memgr8 */
  1437. {
  1438. { 0, 0, 0, 0 },
  1439. { { MNEM, ' ', OP (REGBMEM8), ',', OP (MEMGR8), 0 } },
  1440. & ifmt_addbrm2, { 0x53 }
  1441. },
  1442. /* xorb $memgr8,$regbmem8 */
  1443. {
  1444. { 0, 0, 0, 0 },
  1445. { { MNEM, ' ', OP (MEMGR8), ',', OP (REGBMEM8), 0 } },
  1446. & ifmt_addbrm2, { 0x55 }
  1447. },
  1448. /* xorb $regb8,$memory */
  1449. {
  1450. { 0, 0, 0, 0 },
  1451. { { MNEM, ' ', OP (REGB8), ',', OP (MEMORY), 0 } },
  1452. & ifmt_addbrm, { 0x53 }
  1453. },
  1454. /* xorb $memory,$regb8 */
  1455. {
  1456. { 0, 0, 0, 0 },
  1457. { { MNEM, ' ', OP (MEMORY), ',', OP (REGB8), 0 } },
  1458. & ifmt_addbrm, { 0x55 }
  1459. },
  1460. /* mov $dr,$sr */
  1461. {
  1462. { 0, 0, 0, 0 },
  1463. { { MNEM, ' ', OP (DR), ',', OP (SR), 0 } },
  1464. & ifmt_addr, { 0xf0 }
  1465. },
  1466. /* movb $drb,$srb */
  1467. {
  1468. { 0, 0, 0, 0 },
  1469. { { MNEM, ' ', OP (DRB), ',', OP (SRB), 0 } },
  1470. & ifmt_addbr, { 0xf1 }
  1471. },
  1472. /* mov $dri,$hash$u4 */
  1473. {
  1474. { 0, 0, 0, 0 },
  1475. { { MNEM, ' ', OP (DRI), ',', OP (HASH), OP (U4), 0 } },
  1476. & ifmt_movri, { 0xe0 }
  1477. },
  1478. /* movb $srb,$hash$u4 */
  1479. {
  1480. { 0, 0, 0, 0 },
  1481. { { MNEM, ' ', OP (SRB), ',', OP (HASH), OP (U4), 0 } },
  1482. & ifmt_movbri, { 0xe1 }
  1483. },
  1484. /* mov $reg8,$hash$uimm16 */
  1485. {
  1486. { 0, 0, 0, 0 },
  1487. { { MNEM, ' ', OP (REG8), ',', OP (HASH), OP (UIMM16), 0 } },
  1488. & ifmt_addrhpof, { 0xe6 }
  1489. },
  1490. /* movb $regb8,$hash$uimm8 */
  1491. {
  1492. { 0, 0, 0, 0 },
  1493. { { MNEM, ' ', OP (REGB8), ',', OP (HASH), OP (UIMM8), 0 } },
  1494. & ifmt_addrbhpof, { 0xe7 }
  1495. },
  1496. /* mov $dr,[$sr] */
  1497. {
  1498. { 0, 0, 0, 0 },
  1499. { { MNEM, ' ', OP (DR), ',', '[', OP (SR), ']', 0 } },
  1500. & ifmt_addr, { 0xa8 }
  1501. },
  1502. /* movb $drb,[$sr] */
  1503. {
  1504. { 0, 0, 0, 0 },
  1505. { { MNEM, ' ', OP (DRB), ',', '[', OP (SR), ']', 0 } },
  1506. & ifmt_movbr2, { 0xa9 }
  1507. },
  1508. /* mov [$sr],$dr */
  1509. {
  1510. { 0, 0, 0, 0 },
  1511. { { MNEM, ' ', '[', OP (SR), ']', ',', OP (DR), 0 } },
  1512. & ifmt_addr, { 0xb8 }
  1513. },
  1514. /* movb [$sr],$drb */
  1515. {
  1516. { 0, 0, 0, 0 },
  1517. { { MNEM, ' ', '[', OP (SR), ']', ',', OP (DRB), 0 } },
  1518. & ifmt_movbr2, { 0xb9 }
  1519. },
  1520. /* mov [-$sr],$dr */
  1521. {
  1522. { 0, 0, 0, 0 },
  1523. { { MNEM, ' ', '[', '-', OP (SR), ']', ',', OP (DR), 0 } },
  1524. & ifmt_addr, { 0x88 }
  1525. },
  1526. /* movb [-$sr],$drb */
  1527. {
  1528. { 0, 0, 0, 0 },
  1529. { { MNEM, ' ', '[', '-', OP (SR), ']', ',', OP (DRB), 0 } },
  1530. & ifmt_movbr2, { 0x89 }
  1531. },
  1532. /* mov $dr,[$sr+] */
  1533. {
  1534. { 0, 0, 0, 0 },
  1535. { { MNEM, ' ', OP (DR), ',', '[', OP (SR), '+', ']', 0 } },
  1536. & ifmt_addr, { 0x98 }
  1537. },
  1538. /* movb $drb,[$sr+] */
  1539. {
  1540. { 0, 0, 0, 0 },
  1541. { { MNEM, ' ', OP (DRB), ',', '[', OP (SR), '+', ']', 0 } },
  1542. & ifmt_movbr2, { 0x99 }
  1543. },
  1544. /* mov [$dr],[$sr] */
  1545. {
  1546. { 0, 0, 0, 0 },
  1547. { { MNEM, ' ', '[', OP (DR), ']', ',', '[', OP (SR), ']', 0 } },
  1548. & ifmt_addr, { 0xc8 }
  1549. },
  1550. /* movb [$dr],[$sr] */
  1551. {
  1552. { 0, 0, 0, 0 },
  1553. { { MNEM, ' ', '[', OP (DR), ']', ',', '[', OP (SR), ']', 0 } },
  1554. & ifmt_addr, { 0xc9 }
  1555. },
  1556. /* mov [$dr+],[$sr] */
  1557. {
  1558. { 0, 0, 0, 0 },
  1559. { { MNEM, ' ', '[', OP (DR), '+', ']', ',', '[', OP (SR), ']', 0 } },
  1560. & ifmt_addr, { 0xd8 }
  1561. },
  1562. /* movb [$dr+],[$sr] */
  1563. {
  1564. { 0, 0, 0, 0 },
  1565. { { MNEM, ' ', '[', OP (DR), '+', ']', ',', '[', OP (SR), ']', 0 } },
  1566. & ifmt_addr, { 0xd9 }
  1567. },
  1568. /* mov [$dr],[$sr+] */
  1569. {
  1570. { 0, 0, 0, 0 },
  1571. { { MNEM, ' ', '[', OP (DR), ']', ',', '[', OP (SR), '+', ']', 0 } },
  1572. & ifmt_addr, { 0xe8 }
  1573. },
  1574. /* movb [$dr],[$sr+] */
  1575. {
  1576. { 0, 0, 0, 0 },
  1577. { { MNEM, ' ', '[', OP (DR), ']', ',', '[', OP (SR), '+', ']', 0 } },
  1578. & ifmt_addr, { 0xe9 }
  1579. },
  1580. /* mov $dr,[$sr+$hash$uimm16] */
  1581. {
  1582. { 0, 0, 0, 0 },
  1583. { { MNEM, ' ', OP (DR), ',', '[', OP (SR), '+', OP (HASH), OP (UIMM16), ']', 0 } },
  1584. & ifmt_mov9i, { 0xd4 }
  1585. },
  1586. /* movb $drb,[$sr+$hash$uimm16] */
  1587. {
  1588. { 0, 0, 0, 0 },
  1589. { { MNEM, ' ', OP (DRB), ',', '[', OP (SR), '+', OP (HASH), OP (UIMM16), ']', 0 } },
  1590. & ifmt_movb9i, { 0xf4 }
  1591. },
  1592. /* mov [$sr+$hash$uimm16],$dr */
  1593. {
  1594. { 0, 0, 0, 0 },
  1595. { { MNEM, ' ', '[', OP (SR), '+', OP (HASH), OP (UIMM16), ']', ',', OP (DR), 0 } },
  1596. & ifmt_mov9i, { 0xc4 }
  1597. },
  1598. /* movb [$sr+$hash$uimm16],$drb */
  1599. {
  1600. { 0, 0, 0, 0 },
  1601. { { MNEM, ' ', '[', OP (SR), '+', OP (HASH), OP (UIMM16), ']', ',', OP (DRB), 0 } },
  1602. & ifmt_movb9i, { 0xe4 }
  1603. },
  1604. /* mov [$src2],$memory */
  1605. {
  1606. { 0, 0, 0, 0 },
  1607. { { MNEM, ' ', '[', OP (SRC2), ']', ',', OP (MEMORY), 0 } },
  1608. & ifmt_movri11, { 0x84 }
  1609. },
  1610. /* movb [$src2],$memory */
  1611. {
  1612. { 0, 0, 0, 0 },
  1613. { { MNEM, ' ', '[', OP (SRC2), ']', ',', OP (MEMORY), 0 } },
  1614. & ifmt_movri11, { 0xa4 }
  1615. },
  1616. /* mov $memory,[$src2] */
  1617. {
  1618. { 0, 0, 0, 0 },
  1619. { { MNEM, ' ', OP (MEMORY), ',', '[', OP (SRC2), ']', 0 } },
  1620. & ifmt_movri11, { 0x94 }
  1621. },
  1622. /* movb $memory,[$src2] */
  1623. {
  1624. { 0, 0, 0, 0 },
  1625. { { MNEM, ' ', OP (MEMORY), ',', '[', OP (SRC2), ']', 0 } },
  1626. & ifmt_movri11, { 0xb4 }
  1627. },
  1628. /* mov $regoff8,$hash$pof$upof16 */
  1629. {
  1630. { 0, 0, 0, 0 },
  1631. { { MNEM, ' ', OP (REGOFF8), ',', OP (HASH), OP (POF), OP (UPOF16), 0 } },
  1632. & ifmt_movehm5, { 0xe6 }
  1633. },
  1634. /* mov $regoff8,$hash$pag$upag16 */
  1635. {
  1636. { 0, 0, 0, 0 },
  1637. { { MNEM, ' ', OP (REGOFF8), ',', OP (HASH), OP (PAG), OP (UPAG16), 0 } },
  1638. & ifmt_movehm6, { 0xe6 }
  1639. },
  1640. /* mov $regoff8,$hash$segm$useg16 */
  1641. {
  1642. { 0, 0, 0, 0 },
  1643. { { MNEM, ' ', OP (REGOFF8), ',', OP (HASH), OP (SEGM), OP (USEG16), 0 } },
  1644. & ifmt_movehm7, { 0xe6 }
  1645. },
  1646. /* mov $regoff8,$hash$sof$usof16 */
  1647. {
  1648. { 0, 0, 0, 0 },
  1649. { { MNEM, ' ', OP (REGOFF8), ',', OP (HASH), OP (SOF), OP (USOF16), 0 } },
  1650. & ifmt_movehm8, { 0xe6 }
  1651. },
  1652. /* movb $regb8,$hash$pof$uimm8 */
  1653. {
  1654. { 0, 0, 0, 0 },
  1655. { { MNEM, ' ', OP (REGB8), ',', OP (HASH), OP (POF), OP (UIMM8), 0 } },
  1656. & ifmt_addrbhpof, { 0xe7 }
  1657. },
  1658. /* movb $regoff8,$hash$pag$uimm8 */
  1659. {
  1660. { 0, 0, 0, 0 },
  1661. { { MNEM, ' ', OP (REGOFF8), ',', OP (HASH), OP (PAG), OP (UIMM8), 0 } },
  1662. & ifmt_movehm10, { 0xe7 }
  1663. },
  1664. /* mov $regoff8,$pof$upof16 */
  1665. {
  1666. { 0, 0, 0, 0 },
  1667. { { MNEM, ' ', OP (REGOFF8), ',', OP (POF), OP (UPOF16), 0 } },
  1668. & ifmt_movehm5, { 0xf2 }
  1669. },
  1670. /* movb $regb8,$pof$upof16 */
  1671. {
  1672. { 0, 0, 0, 0 },
  1673. { { MNEM, ' ', OP (REGB8), ',', OP (POF), OP (UPOF16), 0 } },
  1674. & ifmt_addbrpof, { 0xf3 }
  1675. },
  1676. /* mov $regoff8,$pag$upag16 */
  1677. {
  1678. { 0, 0, 0, 0 },
  1679. { { MNEM, ' ', OP (REGOFF8), ',', OP (PAG), OP (UPAG16), 0 } },
  1680. & ifmt_movehm6, { 0xf2 }
  1681. },
  1682. /* movb $regb8,$pag$upag16 */
  1683. {
  1684. { 0, 0, 0, 0 },
  1685. { { MNEM, ' ', OP (REGB8), ',', OP (PAG), OP (UPAG16), 0 } },
  1686. & ifmt_addbrpag, { 0xf3 }
  1687. },
  1688. /* mov $pof$upof16,$regoff8 */
  1689. {
  1690. { 0, 0, 0, 0 },
  1691. { { MNEM, ' ', OP (POF), OP (UPOF16), ',', OP (REGOFF8), 0 } },
  1692. & ifmt_movehm5, { 0xf6 }
  1693. },
  1694. /* movb $pof$upof16,$regb8 */
  1695. {
  1696. { 0, 0, 0, 0 },
  1697. { { MNEM, ' ', OP (POF), OP (UPOF16), ',', OP (REGB8), 0 } },
  1698. & ifmt_addbrpof, { 0xf7 }
  1699. },
  1700. /* mov $dri,$hash$pof$u4 */
  1701. {
  1702. { 0, 0, 0, 0 },
  1703. { { MNEM, ' ', OP (DRI), ',', OP (HASH), OP (POF), OP (U4), 0 } },
  1704. & ifmt_movri, { 0xe0 }
  1705. },
  1706. /* movb $srb,$hash$pof$u4 */
  1707. {
  1708. { 0, 0, 0, 0 },
  1709. { { MNEM, ' ', OP (SRB), ',', OP (HASH), OP (POF), OP (U4), 0 } },
  1710. & ifmt_movbri, { 0xe1 }
  1711. },
  1712. /* mov $dri,$hash$pag$u4 */
  1713. {
  1714. { 0, 0, 0, 0 },
  1715. { { MNEM, ' ', OP (DRI), ',', OP (HASH), OP (PAG), OP (U4), 0 } },
  1716. & ifmt_movri, { 0xe0 }
  1717. },
  1718. /* movb $srb,$hash$pag$u4 */
  1719. {
  1720. { 0, 0, 0, 0 },
  1721. { { MNEM, ' ', OP (SRB), ',', OP (HASH), OP (PAG), OP (U4), 0 } },
  1722. & ifmt_movbri, { 0xe1 }
  1723. },
  1724. /* mov $regmem8,$memgr8 */
  1725. {
  1726. { 0, 0, 0, 0 },
  1727. { { MNEM, ' ', OP (REGMEM8), ',', OP (MEMGR8), 0 } },
  1728. & ifmt_addrm2, { 0xf2 }
  1729. },
  1730. /* mov $memgr8,$regmem8 */
  1731. {
  1732. { 0, 0, 0, 0 },
  1733. { { MNEM, ' ', OP (MEMGR8), ',', OP (REGMEM8), 0 } },
  1734. & ifmt_addrm2, { 0xf6 }
  1735. },
  1736. /* mov $reg8,$memory */
  1737. {
  1738. { 0, 0, 0, 0 },
  1739. { { MNEM, ' ', OP (REG8), ',', OP (MEMORY), 0 } },
  1740. & ifmt_addrm, { 0xf2 }
  1741. },
  1742. /* mov $memory,$reg8 */
  1743. {
  1744. { 0, 0, 0, 0 },
  1745. { { MNEM, ' ', OP (MEMORY), ',', OP (REG8), 0 } },
  1746. & ifmt_addrm, { 0xf6 }
  1747. },
  1748. /* movb $regbmem8,$memgr8 */
  1749. {
  1750. { 0, 0, 0, 0 },
  1751. { { MNEM, ' ', OP (REGBMEM8), ',', OP (MEMGR8), 0 } },
  1752. & ifmt_addbrm2, { 0xf3 }
  1753. },
  1754. /* movb $memgr8,$regbmem8 */
  1755. {
  1756. { 0, 0, 0, 0 },
  1757. { { MNEM, ' ', OP (MEMGR8), ',', OP (REGBMEM8), 0 } },
  1758. & ifmt_addbrm2, { 0xf7 }
  1759. },
  1760. /* movb $regb8,$memory */
  1761. {
  1762. { 0, 0, 0, 0 },
  1763. { { MNEM, ' ', OP (REGB8), ',', OP (MEMORY), 0 } },
  1764. & ifmt_addbrm, { 0xf3 }
  1765. },
  1766. /* movb $memory,$regb8 */
  1767. {
  1768. { 0, 0, 0, 0 },
  1769. { { MNEM, ' ', OP (MEMORY), ',', OP (REGB8), 0 } },
  1770. & ifmt_addbrm, { 0xf7 }
  1771. },
  1772. /* movbs $sr,$drb */
  1773. {
  1774. { 0, 0, 0, 0 },
  1775. { { MNEM, ' ', OP (SR), ',', OP (DRB), 0 } },
  1776. & ifmt_movbr2, { 0xd0 }
  1777. },
  1778. /* movbz $sr,$drb */
  1779. {
  1780. { 0, 0, 0, 0 },
  1781. { { MNEM, ' ', OP (SR), ',', OP (DRB), 0 } },
  1782. & ifmt_movbr2, { 0xc0 }
  1783. },
  1784. /* movbs $regmem8,$pof$upof16 */
  1785. {
  1786. { 0, 0, 0, 0 },
  1787. { { MNEM, ' ', OP (REGMEM8), ',', OP (POF), OP (UPOF16), 0 } },
  1788. & ifmt_movbsrpofm, { 0xd2 }
  1789. },
  1790. /* movbs $pof$upof16,$regbmem8 */
  1791. {
  1792. { 0, 0, 0, 0 },
  1793. { { MNEM, ' ', OP (POF), OP (UPOF16), ',', OP (REGBMEM8), 0 } },
  1794. & ifmt_movbspofmr, { 0xd5 }
  1795. },
  1796. /* movbz $reg8,$pof$upof16 */
  1797. {
  1798. { 0, 0, 0, 0 },
  1799. { { MNEM, ' ', OP (REG8), ',', OP (POF), OP (UPOF16), 0 } },
  1800. & ifmt_addrpof, { 0xc2 }
  1801. },
  1802. /* movbz $pof$upof16,$regb8 */
  1803. {
  1804. { 0, 0, 0, 0 },
  1805. { { MNEM, ' ', OP (POF), OP (UPOF16), ',', OP (REGB8), 0 } },
  1806. & ifmt_addbrpof, { 0xc5 }
  1807. },
  1808. /* movbs $regmem8,$memgr8 */
  1809. {
  1810. { 0, 0, 0, 0 },
  1811. { { MNEM, ' ', OP (REGMEM8), ',', OP (MEMGR8), 0 } },
  1812. & ifmt_addrm2, { 0xd2 }
  1813. },
  1814. /* movbs $memgr8,$regbmem8 */
  1815. {
  1816. { 0, 0, 0, 0 },
  1817. { { MNEM, ' ', OP (MEMGR8), ',', OP (REGBMEM8), 0 } },
  1818. & ifmt_addbrm2, { 0xd5 }
  1819. },
  1820. /* movbs $reg8,$memory */
  1821. {
  1822. { 0, 0, 0, 0 },
  1823. { { MNEM, ' ', OP (REG8), ',', OP (MEMORY), 0 } },
  1824. & ifmt_addrm, { 0xd2 }
  1825. },
  1826. /* movbs $memory,$regb8 */
  1827. {
  1828. { 0, 0, 0, 0 },
  1829. { { MNEM, ' ', OP (MEMORY), ',', OP (REGB8), 0 } },
  1830. & ifmt_addbrm, { 0xd5 }
  1831. },
  1832. /* movbz $regmem8,$memgr8 */
  1833. {
  1834. { 0, 0, 0, 0 },
  1835. { { MNEM, ' ', OP (REGMEM8), ',', OP (MEMGR8), 0 } },
  1836. & ifmt_addrm2, { 0xc2 }
  1837. },
  1838. /* movbz $memgr8,$regbmem8 */
  1839. {
  1840. { 0, 0, 0, 0 },
  1841. { { MNEM, ' ', OP (MEMGR8), ',', OP (REGBMEM8), 0 } },
  1842. & ifmt_addbrm2, { 0xc5 }
  1843. },
  1844. /* movbz $reg8,$memory */
  1845. {
  1846. { 0, 0, 0, 0 },
  1847. { { MNEM, ' ', OP (REG8), ',', OP (MEMORY), 0 } },
  1848. & ifmt_addrm, { 0xc2 }
  1849. },
  1850. /* movbz $memory,$regb8 */
  1851. {
  1852. { 0, 0, 0, 0 },
  1853. { { MNEM, ' ', OP (MEMORY), ',', OP (REGB8), 0 } },
  1854. & ifmt_addbrm, { 0xc5 }
  1855. },
  1856. /* movbs $sr,$drb */
  1857. {
  1858. { 0, 0, 0, 0 },
  1859. { { MNEM, ' ', OP (SR), ',', OP (DRB), 0 } },
  1860. & ifmt_movbr2, { 0xd0 }
  1861. },
  1862. /* movbz $sr,$drb */
  1863. {
  1864. { 0, 0, 0, 0 },
  1865. { { MNEM, ' ', OP (SR), ',', OP (DRB), 0 } },
  1866. & ifmt_movbr2, { 0xc0 }
  1867. },
  1868. /* jmpa+ $extcond,$caddr */
  1869. {
  1870. { 0, 0, 0, 0 },
  1871. { { MNEM, ' ', OP (EXTCOND), ',', OP (CADDR), 0 } },
  1872. & ifmt_jmpa0, { 0xea }
  1873. },
  1874. /* jmpa $extcond,$caddr */
  1875. {
  1876. { 0, 0, 0, 0 },
  1877. { { MNEM, ' ', OP (EXTCOND), ',', OP (CADDR), 0 } },
  1878. & ifmt_jmpa0, { 0xea }
  1879. },
  1880. /* jmpa- $extcond,$caddr */
  1881. {
  1882. { 0, 0, 0, 0 },
  1883. { { MNEM, ' ', OP (EXTCOND), ',', OP (CADDR), 0 } },
  1884. & ifmt_jmpa_, { 0x1ea }
  1885. },
  1886. /* jmpi $icond,[$sr] */
  1887. {
  1888. { 0, 0, 0, 0 },
  1889. { { MNEM, ' ', OP (ICOND), ',', '[', OP (SR), ']', 0 } },
  1890. & ifmt_jmpi, { 0x9c }
  1891. },
  1892. /* jmpr $cond,$rel */
  1893. {
  1894. { 0, 0, 0, 0 },
  1895. { { MNEM, ' ', OP (COND), ',', OP (REL), 0 } },
  1896. & ifmt_jmpr_nenz, { 0x3d }
  1897. },
  1898. /* jmpr $cond,$rel */
  1899. {
  1900. { 0, 0, 0, 0 },
  1901. { { MNEM, ' ', OP (COND), ',', OP (REL), 0 } },
  1902. & ifmt_jmpr_nenz, { 0xad }
  1903. },
  1904. /* jmpr $cond,$rel */
  1905. {
  1906. { 0, 0, 0, 0 },
  1907. { { MNEM, ' ', OP (COND), ',', OP (REL), 0 } },
  1908. & ifmt_jmpr_nenz, { 0x2d }
  1909. },
  1910. /* jmpr $cond,$rel */
  1911. {
  1912. { 0, 0, 0, 0 },
  1913. { { MNEM, ' ', OP (COND), ',', OP (REL), 0 } },
  1914. & ifmt_jmpr_nenz, { 0x4d }
  1915. },
  1916. /* jmpr $cond,$rel */
  1917. {
  1918. { 0, 0, 0, 0 },
  1919. { { MNEM, ' ', OP (COND), ',', OP (REL), 0 } },
  1920. & ifmt_jmpr_nenz, { 0x5d }
  1921. },
  1922. /* jmpr $cond,$rel */
  1923. {
  1924. { 0, 0, 0, 0 },
  1925. { { MNEM, ' ', OP (COND), ',', OP (REL), 0 } },
  1926. & ifmt_jmpr_nenz, { 0x6d }
  1927. },
  1928. /* jmpr $cond,$rel */
  1929. {
  1930. { 0, 0, 0, 0 },
  1931. { { MNEM, ' ', OP (COND), ',', OP (REL), 0 } },
  1932. & ifmt_jmpr_nenz, { 0x7d }
  1933. },
  1934. /* jmpr $cond,$rel */
  1935. {
  1936. { 0, 0, 0, 0 },
  1937. { { MNEM, ' ', OP (COND), ',', OP (REL), 0 } },
  1938. & ifmt_jmpr_nenz, { 0x8d }
  1939. },
  1940. /* jmpr $cond,$rel */
  1941. {
  1942. { 0, 0, 0, 0 },
  1943. { { MNEM, ' ', OP (COND), ',', OP (REL), 0 } },
  1944. & ifmt_jmpr_nenz, { 0x9d }
  1945. },
  1946. /* jmpr $cond,$rel */
  1947. {
  1948. { 0, 0, 0, 0 },
  1949. { { MNEM, ' ', OP (COND), ',', OP (REL), 0 } },
  1950. & ifmt_jmpr_nenz, { 0x2d }
  1951. },
  1952. /* jmpr $cond,$rel */
  1953. {
  1954. { 0, 0, 0, 0 },
  1955. { { MNEM, ' ', OP (COND), ',', OP (REL), 0 } },
  1956. & ifmt_jmpr_nenz, { 0x3d }
  1957. },
  1958. /* jmpr $cond,$rel */
  1959. {
  1960. { 0, 0, 0, 0 },
  1961. { { MNEM, ' ', OP (COND), ',', OP (REL), 0 } },
  1962. & ifmt_jmpr_nenz, { 0x8d }
  1963. },
  1964. /* jmpr $cond,$rel */
  1965. {
  1966. { 0, 0, 0, 0 },
  1967. { { MNEM, ' ', OP (COND), ',', OP (REL), 0 } },
  1968. & ifmt_jmpr_nenz, { 0xfd }
  1969. },
  1970. /* jmpr $cond,$rel */
  1971. {
  1972. { 0, 0, 0, 0 },
  1973. { { MNEM, ' ', OP (COND), ',', OP (REL), 0 } },
  1974. & ifmt_jmpr_nenz, { 0x9d }
  1975. },
  1976. /* jmpr $cond,$rel */
  1977. {
  1978. { 0, 0, 0, 0 },
  1979. { { MNEM, ' ', OP (COND), ',', OP (REL), 0 } },
  1980. & ifmt_jmpr_nenz, { 0xed }
  1981. },
  1982. /* jmpr $cond,$rel */
  1983. {
  1984. { 0, 0, 0, 0 },
  1985. { { MNEM, ' ', OP (COND), ',', OP (REL), 0 } },
  1986. & ifmt_jmpr_nenz, { 0xbd }
  1987. },
  1988. /* jmpr $cond,$rel */
  1989. {
  1990. { 0, 0, 0, 0 },
  1991. { { MNEM, ' ', OP (COND), ',', OP (REL), 0 } },
  1992. & ifmt_jmpr_nenz, { 0xdd }
  1993. },
  1994. /* jmpr $cond,$rel */
  1995. {
  1996. { 0, 0, 0, 0 },
  1997. { { MNEM, ' ', OP (COND), ',', OP (REL), 0 } },
  1998. & ifmt_jmpr_nenz, { 0x1d }
  1999. },
  2000. /* jmpr $cond,$rel */
  2001. {
  2002. { 0, 0, 0, 0 },
  2003. { { MNEM, ' ', OP (COND), ',', OP (REL), 0 } },
  2004. & ifmt_jmpr_nenz, { 0xd }
  2005. },
  2006. /* jmpr $cond,$rel */
  2007. {
  2008. { 0, 0, 0, 0 },
  2009. { { MNEM, ' ', OP (COND), ',', OP (REL), 0 } },
  2010. & ifmt_jmpr_nenz, { 0xcd }
  2011. },
  2012. /* jmps $hash$segm$useg8,$hash$sof$usof16 */
  2013. {
  2014. { 0, 0, 0, 0 },
  2015. { { MNEM, ' ', OP (HASH), OP (SEGM), OP (USEG8), ',', OP (HASH), OP (SOF), OP (USOF16), 0 } },
  2016. & ifmt_jmpseg, { 0xfa }
  2017. },
  2018. /* jmps $seg,$caddr */
  2019. {
  2020. { 0, 0, 0, 0 },
  2021. { { MNEM, ' ', OP (SEG), ',', OP (CADDR), 0 } },
  2022. & ifmt_jmps, { 0xfa }
  2023. },
  2024. /* jb $genreg$dot$qlobit,$relhi */
  2025. {
  2026. { 0, 0, 0, 0 },
  2027. { { MNEM, ' ', OP (GENREG), OP (DOT), OP (QLOBIT), ',', OP (RELHI), 0 } },
  2028. & ifmt_jb, { 0x8a }
  2029. },
  2030. /* jbc $genreg$dot$qlobit,$relhi */
  2031. {
  2032. { 0, 0, 0, 0 },
  2033. { { MNEM, ' ', OP (GENREG), OP (DOT), OP (QLOBIT), ',', OP (RELHI), 0 } },
  2034. & ifmt_jb, { 0xaa }
  2035. },
  2036. /* jnb $genreg$dot$qlobit,$relhi */
  2037. {
  2038. { 0, 0, 0, 0 },
  2039. { { MNEM, ' ', OP (GENREG), OP (DOT), OP (QLOBIT), ',', OP (RELHI), 0 } },
  2040. & ifmt_jb, { 0x9a }
  2041. },
  2042. /* jnbs $genreg$dot$qlobit,$relhi */
  2043. {
  2044. { 0, 0, 0, 0 },
  2045. { { MNEM, ' ', OP (GENREG), OP (DOT), OP (QLOBIT), ',', OP (RELHI), 0 } },
  2046. & ifmt_jb, { 0xba }
  2047. },
  2048. /* calla+ $extcond,$caddr */
  2049. {
  2050. { 0, 0, 0, 0 },
  2051. { { MNEM, ' ', OP (EXTCOND), ',', OP (CADDR), 0 } },
  2052. & ifmt_calla0, { 0xca }
  2053. },
  2054. /* calla $extcond,$caddr */
  2055. {
  2056. { 0, 0, 0, 0 },
  2057. { { MNEM, ' ', OP (EXTCOND), ',', OP (CADDR), 0 } },
  2058. & ifmt_calla0, { 0xca }
  2059. },
  2060. /* calla- $extcond,$caddr */
  2061. {
  2062. { 0, 0, 0, 0 },
  2063. { { MNEM, ' ', OP (EXTCOND), ',', OP (CADDR), 0 } },
  2064. & ifmt_calla_, { 0x1ca }
  2065. },
  2066. /* calli $icond,[$sr] */
  2067. {
  2068. { 0, 0, 0, 0 },
  2069. { { MNEM, ' ', OP (ICOND), ',', '[', OP (SR), ']', 0 } },
  2070. & ifmt_jmpi, { 0xab }
  2071. },
  2072. /* callr $rel */
  2073. {
  2074. { 0, 0, 0, 0 },
  2075. { { MNEM, ' ', OP (REL), 0 } },
  2076. & ifmt_callr, { 0xbb }
  2077. },
  2078. /* calls $hash$segm$useg8,$hash$sof$usof16 */
  2079. {
  2080. { 0, 0, 0, 0 },
  2081. { { MNEM, ' ', OP (HASH), OP (SEGM), OP (USEG8), ',', OP (HASH), OP (SOF), OP (USOF16), 0 } },
  2082. & ifmt_callseg, { 0xda }
  2083. },
  2084. /* calls $seg,$caddr */
  2085. {
  2086. { 0, 0, 0, 0 },
  2087. { { MNEM, ' ', OP (SEG), ',', OP (CADDR), 0 } },
  2088. & ifmt_jmps, { 0xda }
  2089. },
  2090. /* pcall $reg8,$caddr */
  2091. {
  2092. { 0, 0, 0, 0 },
  2093. { { MNEM, ' ', OP (REG8), ',', OP (CADDR), 0 } },
  2094. & ifmt_pcall, { 0xe2 }
  2095. },
  2096. /* trap $hash$uimm7 */
  2097. {
  2098. { 0, 0, 0, 0 },
  2099. { { MNEM, ' ', OP (HASH), OP (UIMM7), 0 } },
  2100. & ifmt_trap, { 0x9b }
  2101. },
  2102. /* ret */
  2103. {
  2104. { 0, 0, 0, 0 },
  2105. { { MNEM, 0 } },
  2106. & ifmt_ret, { 0xcb }
  2107. },
  2108. /* rets */
  2109. {
  2110. { 0, 0, 0, 0 },
  2111. { { MNEM, 0 } },
  2112. & ifmt_ret, { 0xdb }
  2113. },
  2114. /* retp $reg8 */
  2115. {
  2116. { 0, 0, 0, 0 },
  2117. { { MNEM, ' ', OP (REG8), 0 } },
  2118. & ifmt_retp, { 0xeb }
  2119. },
  2120. /* reti */
  2121. {
  2122. { 0, 0, 0, 0 },
  2123. { { MNEM, 0 } },
  2124. & ifmt_reti, { 0x88fb }
  2125. },
  2126. /* pop $reg8 */
  2127. {
  2128. { 0, 0, 0, 0 },
  2129. { { MNEM, ' ', OP (REG8), 0 } },
  2130. & ifmt_retp, { 0xfc }
  2131. },
  2132. /* push $reg8 */
  2133. {
  2134. { 0, 0, 0, 0 },
  2135. { { MNEM, ' ', OP (REG8), 0 } },
  2136. & ifmt_retp, { 0xec }
  2137. },
  2138. /* scxt $reg8,$hash$uimm16 */
  2139. {
  2140. { 0, 0, 0, 0 },
  2141. { { MNEM, ' ', OP (REG8), ',', OP (HASH), OP (UIMM16), 0 } },
  2142. & ifmt_addrhpof, { 0xc6 }
  2143. },
  2144. /* scxt $reg8,$pof$upof16 */
  2145. {
  2146. { 0, 0, 0, 0 },
  2147. { { MNEM, ' ', OP (REG8), ',', OP (POF), OP (UPOF16), 0 } },
  2148. & ifmt_addrpof, { 0xd6 }
  2149. },
  2150. /* scxt $regmem8,$memgr8 */
  2151. {
  2152. { 0, 0, 0, 0 },
  2153. { { MNEM, ' ', OP (REGMEM8), ',', OP (MEMGR8), 0 } },
  2154. & ifmt_addrm2, { 0xd6 }
  2155. },
  2156. /* scxt $reg8,$memory */
  2157. {
  2158. { 0, 0, 0, 0 },
  2159. { { MNEM, ' ', OP (REG8), ',', OP (MEMORY), 0 } },
  2160. & ifmt_addrm, { 0xd6 }
  2161. },
  2162. /* nop */
  2163. {
  2164. { 0, 0, 0, 0 },
  2165. { { MNEM, 0 } },
  2166. & ifmt_ret, { 0xcc }
  2167. },
  2168. /* srst */
  2169. {
  2170. { 0, 0, 0, 0 },
  2171. { { MNEM, 0 } },
  2172. & ifmt_srstm, { 0xb7b748b7 }
  2173. },
  2174. /* idle */
  2175. {
  2176. { 0, 0, 0, 0 },
  2177. { { MNEM, 0 } },
  2178. & ifmt_srstm, { 0x87877887 }
  2179. },
  2180. /* pwrdn */
  2181. {
  2182. { 0, 0, 0, 0 },
  2183. { { MNEM, 0 } },
  2184. & ifmt_srstm, { 0x97976897 }
  2185. },
  2186. /* diswdt */
  2187. {
  2188. { 0, 0, 0, 0 },
  2189. { { MNEM, 0 } },
  2190. & ifmt_srstm, { 0xa5a55aa5 }
  2191. },
  2192. /* enwdt */
  2193. {
  2194. { 0, 0, 0, 0 },
  2195. { { MNEM, 0 } },
  2196. & ifmt_srstm, { 0x85857a85 }
  2197. },
  2198. /* einit */
  2199. {
  2200. { 0, 0, 0, 0 },
  2201. { { MNEM, 0 } },
  2202. & ifmt_srstm, { 0xb5b54ab5 }
  2203. },
  2204. /* srvwdt */
  2205. {
  2206. { 0, 0, 0, 0 },
  2207. { { MNEM, 0 } },
  2208. & ifmt_srstm, { 0xa7a758a7 }
  2209. },
  2210. /* sbrk */
  2211. {
  2212. { 0, 0, 0, 0 },
  2213. { { MNEM, 0 } },
  2214. & ifmt_ret, { 0x8c }
  2215. },
  2216. /* atomic $hash$uimm2 */
  2217. {
  2218. { 0, 0, 0, 0 },
  2219. { { MNEM, ' ', OP (HASH), OP (UIMM2), 0 } },
  2220. & ifmt_atomic, { 0xd1 }
  2221. },
  2222. /* extr $hash$uimm2 */
  2223. {
  2224. { 0, 0, 0, 0 },
  2225. { { MNEM, ' ', OP (HASH), OP (UIMM2), 0 } },
  2226. & ifmt_atomic, { 0x80d1 }
  2227. },
  2228. /* extp $sr,$hash$uimm2 */
  2229. {
  2230. { 0, 0, 0, 0 },
  2231. { { MNEM, ' ', OP (SR), ',', OP (HASH), OP (UIMM2), 0 } },
  2232. & ifmt_extp, { 0x40dc }
  2233. },
  2234. /* extp $hash$pagenum,$hash$uimm2 */
  2235. {
  2236. { 0, 0, 0, 0 },
  2237. { { MNEM, ' ', OP (HASH), OP (PAGENUM), ',', OP (HASH), OP (UIMM2), 0 } },
  2238. & ifmt_extp1, { 0x40d7 }
  2239. },
  2240. /* extp $hash$pag$upag16,$hash$uimm2 */
  2241. {
  2242. { 0, 0, 0, 0 },
  2243. { { MNEM, ' ', OP (HASH), OP (PAG), OP (UPAG16), ',', OP (HASH), OP (UIMM2), 0 } },
  2244. & ifmt_extpg1, { 0x40d7 }
  2245. },
  2246. /* extpr $sr,$hash$uimm2 */
  2247. {
  2248. { 0, 0, 0, 0 },
  2249. { { MNEM, ' ', OP (SR), ',', OP (HASH), OP (UIMM2), 0 } },
  2250. & ifmt_extp, { 0xc0dc }
  2251. },
  2252. /* extpr $hash$pagenum,$hash$uimm2 */
  2253. {
  2254. { 0, 0, 0, 0 },
  2255. { { MNEM, ' ', OP (HASH), OP (PAGENUM), ',', OP (HASH), OP (UIMM2), 0 } },
  2256. & ifmt_extp1, { 0xc0d7 }
  2257. },
  2258. /* exts $sr,$hash$uimm2 */
  2259. {
  2260. { 0, 0, 0, 0 },
  2261. { { MNEM, ' ', OP (SR), ',', OP (HASH), OP (UIMM2), 0 } },
  2262. & ifmt_extp, { 0xdc }
  2263. },
  2264. /* exts $hash$seghi8,$hash$uimm2 */
  2265. {
  2266. { 0, 0, 0, 0 },
  2267. { { MNEM, ' ', OP (HASH), OP (SEGHI8), ',', OP (HASH), OP (UIMM2), 0 } },
  2268. & ifmt_exts1, { 0xd7 }
  2269. },
  2270. /* extsr $sr,$hash$uimm2 */
  2271. {
  2272. { 0, 0, 0, 0 },
  2273. { { MNEM, ' ', OP (SR), ',', OP (HASH), OP (UIMM2), 0 } },
  2274. & ifmt_extp, { 0x80dc }
  2275. },
  2276. /* extsr $hash$seghi8,$hash$uimm2 */
  2277. {
  2278. { 0, 0, 0, 0 },
  2279. { { MNEM, ' ', OP (HASH), OP (SEGHI8), ',', OP (HASH), OP (UIMM2), 0 } },
  2280. & ifmt_exts1, { 0x80d7 }
  2281. },
  2282. /* prior $dr,$sr */
  2283. {
  2284. { 0, 0, 0, 0 },
  2285. { { MNEM, ' ', OP (DR), ',', OP (SR), 0 } },
  2286. & ifmt_addr, { 0x2b }
  2287. },
  2288. /* bclr $RegNam */
  2289. {
  2290. { 0, 0, 0, 0 },
  2291. { { MNEM, ' ', OP (REGNAM), 0 } },
  2292. & ifmt_bclr18, { 0xbe }
  2293. },
  2294. /* bclr $reg8$dot$qbit */
  2295. {
  2296. { 0, 0, 0, 0 },
  2297. { { MNEM, ' ', OP (REG8), OP (DOT), OP (QBIT), 0 } },
  2298. & ifmt_bclr0, { 0xe }
  2299. },
  2300. /* bclr $reg8$dot$qbit */
  2301. {
  2302. { 0, 0, 0, 0 },
  2303. { { MNEM, ' ', OP (REG8), OP (DOT), OP (QBIT), 0 } },
  2304. & ifmt_bclr0, { 0x1e }
  2305. },
  2306. /* bclr $reg8$dot$qbit */
  2307. {
  2308. { 0, 0, 0, 0 },
  2309. { { MNEM, ' ', OP (REG8), OP (DOT), OP (QBIT), 0 } },
  2310. & ifmt_bclr0, { 0x2e }
  2311. },
  2312. /* bclr $reg8$dot$qbit */
  2313. {
  2314. { 0, 0, 0, 0 },
  2315. { { MNEM, ' ', OP (REG8), OP (DOT), OP (QBIT), 0 } },
  2316. & ifmt_bclr0, { 0x3e }
  2317. },
  2318. /* bclr $reg8$dot$qbit */
  2319. {
  2320. { 0, 0, 0, 0 },
  2321. { { MNEM, ' ', OP (REG8), OP (DOT), OP (QBIT), 0 } },
  2322. & ifmt_bclr0, { 0x4e }
  2323. },
  2324. /* bclr $reg8$dot$qbit */
  2325. {
  2326. { 0, 0, 0, 0 },
  2327. { { MNEM, ' ', OP (REG8), OP (DOT), OP (QBIT), 0 } },
  2328. & ifmt_bclr0, { 0x5e }
  2329. },
  2330. /* bclr $reg8$dot$qbit */
  2331. {
  2332. { 0, 0, 0, 0 },
  2333. { { MNEM, ' ', OP (REG8), OP (DOT), OP (QBIT), 0 } },
  2334. & ifmt_bclr0, { 0x6e }
  2335. },
  2336. /* bclr $reg8$dot$qbit */
  2337. {
  2338. { 0, 0, 0, 0 },
  2339. { { MNEM, ' ', OP (REG8), OP (DOT), OP (QBIT), 0 } },
  2340. & ifmt_bclr0, { 0x7e }
  2341. },
  2342. /* bclr $reg8$dot$qbit */
  2343. {
  2344. { 0, 0, 0, 0 },
  2345. { { MNEM, ' ', OP (REG8), OP (DOT), OP (QBIT), 0 } },
  2346. & ifmt_bclr0, { 0x8e }
  2347. },
  2348. /* bclr $reg8$dot$qbit */
  2349. {
  2350. { 0, 0, 0, 0 },
  2351. { { MNEM, ' ', OP (REG8), OP (DOT), OP (QBIT), 0 } },
  2352. & ifmt_bclr0, { 0x9e }
  2353. },
  2354. /* bclr $reg8$dot$qbit */
  2355. {
  2356. { 0, 0, 0, 0 },
  2357. { { MNEM, ' ', OP (REG8), OP (DOT), OP (QBIT), 0 } },
  2358. & ifmt_bclr0, { 0xae }
  2359. },
  2360. /* bclr $reg8$dot$qbit */
  2361. {
  2362. { 0, 0, 0, 0 },
  2363. { { MNEM, ' ', OP (REG8), OP (DOT), OP (QBIT), 0 } },
  2364. & ifmt_bclr0, { 0xbe }
  2365. },
  2366. /* bclr $reg8$dot$qbit */
  2367. {
  2368. { 0, 0, 0, 0 },
  2369. { { MNEM, ' ', OP (REG8), OP (DOT), OP (QBIT), 0 } },
  2370. & ifmt_bclr0, { 0xce }
  2371. },
  2372. /* bclr $reg8$dot$qbit */
  2373. {
  2374. { 0, 0, 0, 0 },
  2375. { { MNEM, ' ', OP (REG8), OP (DOT), OP (QBIT), 0 } },
  2376. & ifmt_bclr0, { 0xde }
  2377. },
  2378. /* bclr $reg8$dot$qbit */
  2379. {
  2380. { 0, 0, 0, 0 },
  2381. { { MNEM, ' ', OP (REG8), OP (DOT), OP (QBIT), 0 } },
  2382. & ifmt_bclr0, { 0xee }
  2383. },
  2384. /* bclr $reg8$dot$qbit */
  2385. {
  2386. { 0, 0, 0, 0 },
  2387. { { MNEM, ' ', OP (REG8), OP (DOT), OP (QBIT), 0 } },
  2388. & ifmt_bclr0, { 0xfe }
  2389. },
  2390. /* bset $RegNam */
  2391. {
  2392. { 0, 0, 0, 0 },
  2393. { { MNEM, ' ', OP (REGNAM), 0 } },
  2394. & ifmt_bclr18, { 0xbf }
  2395. },
  2396. /* bset $reg8$dot$qbit */
  2397. {
  2398. { 0, 0, 0, 0 },
  2399. { { MNEM, ' ', OP (REG8), OP (DOT), OP (QBIT), 0 } },
  2400. & ifmt_bclr0, { 0xf }
  2401. },
  2402. /* bset $reg8$dot$qbit */
  2403. {
  2404. { 0, 0, 0, 0 },
  2405. { { MNEM, ' ', OP (REG8), OP (DOT), OP (QBIT), 0 } },
  2406. & ifmt_bclr0, { 0x1f }
  2407. },
  2408. /* bset $reg8$dot$qbit */
  2409. {
  2410. { 0, 0, 0, 0 },
  2411. { { MNEM, ' ', OP (REG8), OP (DOT), OP (QBIT), 0 } },
  2412. & ifmt_bclr0, { 0x2f }
  2413. },
  2414. /* bset $reg8$dot$qbit */
  2415. {
  2416. { 0, 0, 0, 0 },
  2417. { { MNEM, ' ', OP (REG8), OP (DOT), OP (QBIT), 0 } },
  2418. & ifmt_bclr0, { 0x3f }
  2419. },
  2420. /* bset $reg8$dot$qbit */
  2421. {
  2422. { 0, 0, 0, 0 },
  2423. { { MNEM, ' ', OP (REG8), OP (DOT), OP (QBIT), 0 } },
  2424. & ifmt_bclr0, { 0x4f }
  2425. },
  2426. /* bset $reg8$dot$qbit */
  2427. {
  2428. { 0, 0, 0, 0 },
  2429. { { MNEM, ' ', OP (REG8), OP (DOT), OP (QBIT), 0 } },
  2430. & ifmt_bclr0, { 0x5f }
  2431. },
  2432. /* bset $reg8$dot$qbit */
  2433. {
  2434. { 0, 0, 0, 0 },
  2435. { { MNEM, ' ', OP (REG8), OP (DOT), OP (QBIT), 0 } },
  2436. & ifmt_bclr0, { 0x6f }
  2437. },
  2438. /* bset $reg8$dot$qbit */
  2439. {
  2440. { 0, 0, 0, 0 },
  2441. { { MNEM, ' ', OP (REG8), OP (DOT), OP (QBIT), 0 } },
  2442. & ifmt_bclr0, { 0x7f }
  2443. },
  2444. /* bset $reg8$dot$qbit */
  2445. {
  2446. { 0, 0, 0, 0 },
  2447. { { MNEM, ' ', OP (REG8), OP (DOT), OP (QBIT), 0 } },
  2448. & ifmt_bclr0, { 0x8f }
  2449. },
  2450. /* bset $reg8$dot$qbit */
  2451. {
  2452. { 0, 0, 0, 0 },
  2453. { { MNEM, ' ', OP (REG8), OP (DOT), OP (QBIT), 0 } },
  2454. & ifmt_bclr0, { 0x9f }
  2455. },
  2456. /* bset $reg8$dot$qbit */
  2457. {
  2458. { 0, 0, 0, 0 },
  2459. { { MNEM, ' ', OP (REG8), OP (DOT), OP (QBIT), 0 } },
  2460. & ifmt_bclr0, { 0xaf }
  2461. },
  2462. /* bset $reg8$dot$qbit */
  2463. {
  2464. { 0, 0, 0, 0 },
  2465. { { MNEM, ' ', OP (REG8), OP (DOT), OP (QBIT), 0 } },
  2466. & ifmt_bclr0, { 0xbf }
  2467. },
  2468. /* bset $reg8$dot$qbit */
  2469. {
  2470. { 0, 0, 0, 0 },
  2471. { { MNEM, ' ', OP (REG8), OP (DOT), OP (QBIT), 0 } },
  2472. & ifmt_bclr0, { 0xcf }
  2473. },
  2474. /* bset $reg8$dot$qbit */
  2475. {
  2476. { 0, 0, 0, 0 },
  2477. { { MNEM, ' ', OP (REG8), OP (DOT), OP (QBIT), 0 } },
  2478. & ifmt_bclr0, { 0xdf }
  2479. },
  2480. /* bset $reg8$dot$qbit */
  2481. {
  2482. { 0, 0, 0, 0 },
  2483. { { MNEM, ' ', OP (REG8), OP (DOT), OP (QBIT), 0 } },
  2484. & ifmt_bclr0, { 0xef }
  2485. },
  2486. /* bset $reg8$dot$qbit */
  2487. {
  2488. { 0, 0, 0, 0 },
  2489. { { MNEM, ' ', OP (REG8), OP (DOT), OP (QBIT), 0 } },
  2490. & ifmt_bclr0, { 0xff }
  2491. },
  2492. /* bmov $reghi8$dot$qhibit,$reg8$dot$qlobit */
  2493. {
  2494. { 0, 0, 0, 0 },
  2495. { { MNEM, ' ', OP (REGHI8), OP (DOT), OP (QHIBIT), ',', OP (REG8), OP (DOT), OP (QLOBIT), 0 } },
  2496. & ifmt_bmov, { 0x4a }
  2497. },
  2498. /* bmovn $reghi8$dot$qhibit,$reg8$dot$qlobit */
  2499. {
  2500. { 0, 0, 0, 0 },
  2501. { { MNEM, ' ', OP (REGHI8), OP (DOT), OP (QHIBIT), ',', OP (REG8), OP (DOT), OP (QLOBIT), 0 } },
  2502. & ifmt_bmov, { 0x3a }
  2503. },
  2504. /* band $reghi8$dot$qhibit,$reg8$dot$qlobit */
  2505. {
  2506. { 0, 0, 0, 0 },
  2507. { { MNEM, ' ', OP (REGHI8), OP (DOT), OP (QHIBIT), ',', OP (REG8), OP (DOT), OP (QLOBIT), 0 } },
  2508. & ifmt_bmov, { 0x6a }
  2509. },
  2510. /* bor $reghi8$dot$qhibit,$reg8$dot$qlobit */
  2511. {
  2512. { 0, 0, 0, 0 },
  2513. { { MNEM, ' ', OP (REGHI8), OP (DOT), OP (QHIBIT), ',', OP (REG8), OP (DOT), OP (QLOBIT), 0 } },
  2514. & ifmt_bmov, { 0x5a }
  2515. },
  2516. /* bxor $reghi8$dot$qhibit,$reg8$dot$qlobit */
  2517. {
  2518. { 0, 0, 0, 0 },
  2519. { { MNEM, ' ', OP (REGHI8), OP (DOT), OP (QHIBIT), ',', OP (REG8), OP (DOT), OP (QLOBIT), 0 } },
  2520. & ifmt_bmov, { 0x7a }
  2521. },
  2522. /* bcmp $reghi8$dot$qhibit,$reg8$dot$qlobit */
  2523. {
  2524. { 0, 0, 0, 0 },
  2525. { { MNEM, ' ', OP (REGHI8), OP (DOT), OP (QHIBIT), ',', OP (REG8), OP (DOT), OP (QLOBIT), 0 } },
  2526. & ifmt_bmov, { 0x2a }
  2527. },
  2528. /* bfldl $reg8,$hash$mask8,$hash$datahi8 */
  2529. {
  2530. { 0, 0, 0, 0 },
  2531. { { MNEM, ' ', OP (REG8), ',', OP (HASH), OP (MASK8), ',', OP (HASH), OP (DATAHI8), 0 } },
  2532. & ifmt_bfldl, { 0xa }
  2533. },
  2534. /* bfldh $reg8,$hash$masklo8,$hash$data8 */
  2535. {
  2536. { 0, 0, 0, 0 },
  2537. { { MNEM, ' ', OP (REG8), ',', OP (HASH), OP (MASKLO8), ',', OP (HASH), OP (DATA8), 0 } },
  2538. & ifmt_bfldh, { 0x1a }
  2539. },
  2540. /* cmp $src1,$src2 */
  2541. {
  2542. { 0, 0, 0, 0 },
  2543. { { MNEM, ' ', OP (SRC1), ',', OP (SRC2), 0 } },
  2544. & ifmt_muls, { 0x40 }
  2545. },
  2546. /* cmpb $drb,$srb */
  2547. {
  2548. { 0, 0, 0, 0 },
  2549. { { MNEM, ' ', OP (DRB), ',', OP (SRB), 0 } },
  2550. & ifmt_addbr, { 0x41 }
  2551. },
  2552. /* cmp $src1,$hash$uimm3 */
  2553. {
  2554. { 0, 0, 0, 0 },
  2555. { { MNEM, ' ', OP (SRC1), ',', OP (HASH), OP (UIMM3), 0 } },
  2556. & ifmt_cmpri, { 0x48 }
  2557. },
  2558. /* cmpb $drb,$hash$uimm3 */
  2559. {
  2560. { 0, 0, 0, 0 },
  2561. { { MNEM, ' ', OP (DRB), ',', OP (HASH), OP (UIMM3), 0 } },
  2562. & ifmt_addbrhpag3, { 0x49 }
  2563. },
  2564. /* cmp $reg8,$hash$uimm16 */
  2565. {
  2566. { 0, 0, 0, 0 },
  2567. { { MNEM, ' ', OP (REG8), ',', OP (HASH), OP (UIMM16), 0 } },
  2568. & ifmt_addrhpof, { 0x46 }
  2569. },
  2570. /* cmpb $regb8,$hash$uimm8 */
  2571. {
  2572. { 0, 0, 0, 0 },
  2573. { { MNEM, ' ', OP (REGB8), ',', OP (HASH), OP (UIMM8), 0 } },
  2574. & ifmt_addrbhpof, { 0x47 }
  2575. },
  2576. /* cmp $dr,[$sr2] */
  2577. {
  2578. { 0, 0, 0, 0 },
  2579. { { MNEM, ' ', OP (DR), ',', '[', OP (SR2), ']', 0 } },
  2580. & ifmt_add2, { 0x848 }
  2581. },
  2582. /* cmpb $drb,[$sr2] */
  2583. {
  2584. { 0, 0, 0, 0 },
  2585. { { MNEM, ' ', OP (DRB), ',', '[', OP (SR2), ']', 0 } },
  2586. & ifmt_addb2, { 0x849 }
  2587. },
  2588. /* cmp $dr,[$sr2+] */
  2589. {
  2590. { 0, 0, 0, 0 },
  2591. { { MNEM, ' ', OP (DR), ',', '[', OP (SR2), '+', ']', 0 } },
  2592. & ifmt_add2, { 0xc48 }
  2593. },
  2594. /* cmpb $drb,[$sr2+] */
  2595. {
  2596. { 0, 0, 0, 0 },
  2597. { { MNEM, ' ', OP (DRB), ',', '[', OP (SR2), '+', ']', 0 } },
  2598. & ifmt_addb2, { 0xc49 }
  2599. },
  2600. /* cmp $reg8,$pof$upof16 */
  2601. {
  2602. { 0, 0, 0, 0 },
  2603. { { MNEM, ' ', OP (REG8), ',', OP (POF), OP (UPOF16), 0 } },
  2604. & ifmt_addrpof, { 0x42 }
  2605. },
  2606. /* cmpb $regb8,$pof$upof16 */
  2607. {
  2608. { 0, 0, 0, 0 },
  2609. { { MNEM, ' ', OP (REGB8), ',', OP (POF), OP (UPOF16), 0 } },
  2610. & ifmt_addbrpof, { 0x43 }
  2611. },
  2612. /* cmp $regmem8,$memgr8 */
  2613. {
  2614. { 0, 0, 0, 0 },
  2615. { { MNEM, ' ', OP (REGMEM8), ',', OP (MEMGR8), 0 } },
  2616. & ifmt_addrm2, { 0x42 }
  2617. },
  2618. /* cmp $reg8,$memory */
  2619. {
  2620. { 0, 0, 0, 0 },
  2621. { { MNEM, ' ', OP (REG8), ',', OP (MEMORY), 0 } },
  2622. & ifmt_addrm, { 0x42 }
  2623. },
  2624. /* cmpb $regbmem8,$memgr8 */
  2625. {
  2626. { 0, 0, 0, 0 },
  2627. { { MNEM, ' ', OP (REGBMEM8), ',', OP (MEMGR8), 0 } },
  2628. & ifmt_addbrm2, { 0x43 }
  2629. },
  2630. /* cmpb $regb8,$memory */
  2631. {
  2632. { 0, 0, 0, 0 },
  2633. { { MNEM, ' ', OP (REGB8), ',', OP (MEMORY), 0 } },
  2634. & ifmt_addbrm, { 0x43 }
  2635. },
  2636. /* cmpd1 $sr,$hash$uimm4 */
  2637. {
  2638. { 0, 0, 0, 0 },
  2639. { { MNEM, ' ', OP (SR), ',', OP (HASH), OP (UIMM4), 0 } },
  2640. & ifmt_cmpd1ri, { 0xa0 }
  2641. },
  2642. /* cmpd2 $sr,$hash$uimm4 */
  2643. {
  2644. { 0, 0, 0, 0 },
  2645. { { MNEM, ' ', OP (SR), ',', OP (HASH), OP (UIMM4), 0 } },
  2646. & ifmt_cmpd1ri, { 0xb0 }
  2647. },
  2648. /* cmpi1 $sr,$hash$uimm4 */
  2649. {
  2650. { 0, 0, 0, 0 },
  2651. { { MNEM, ' ', OP (SR), ',', OP (HASH), OP (UIMM4), 0 } },
  2652. & ifmt_cmpd1ri, { 0x80 }
  2653. },
  2654. /* cmpi2 $sr,$hash$uimm4 */
  2655. {
  2656. { 0, 0, 0, 0 },
  2657. { { MNEM, ' ', OP (SR), ',', OP (HASH), OP (UIMM4), 0 } },
  2658. & ifmt_cmpd1ri, { 0x90 }
  2659. },
  2660. /* cmpd1 $reg8,$hash$uimm16 */
  2661. {
  2662. { 0, 0, 0, 0 },
  2663. { { MNEM, ' ', OP (REG8), ',', OP (HASH), OP (UIMM16), 0 } },
  2664. & ifmt_addrhpof, { 0xa6 }
  2665. },
  2666. /* cmpd2 $reg8,$hash$uimm16 */
  2667. {
  2668. { 0, 0, 0, 0 },
  2669. { { MNEM, ' ', OP (REG8), ',', OP (HASH), OP (UIMM16), 0 } },
  2670. & ifmt_addrhpof, { 0xb6 }
  2671. },
  2672. /* cmpi1 $reg8,$hash$uimm16 */
  2673. {
  2674. { 0, 0, 0, 0 },
  2675. { { MNEM, ' ', OP (REG8), ',', OP (HASH), OP (UIMM16), 0 } },
  2676. & ifmt_addrhpof, { 0x86 }
  2677. },
  2678. /* cmpi2 $reg8,$hash$uimm16 */
  2679. {
  2680. { 0, 0, 0, 0 },
  2681. { { MNEM, ' ', OP (REG8), ',', OP (HASH), OP (UIMM16), 0 } },
  2682. & ifmt_addrhpof, { 0x96 }
  2683. },
  2684. /* cmpd1 $reg8,$pof$upof16 */
  2685. {
  2686. { 0, 0, 0, 0 },
  2687. { { MNEM, ' ', OP (REG8), ',', OP (POF), OP (UPOF16), 0 } },
  2688. & ifmt_addrpof, { 0xa2 }
  2689. },
  2690. /* cmpd2 $reg8,$pof$upof16 */
  2691. {
  2692. { 0, 0, 0, 0 },
  2693. { { MNEM, ' ', OP (REG8), ',', OP (POF), OP (UPOF16), 0 } },
  2694. & ifmt_addrpof, { 0xb2 }
  2695. },
  2696. /* cmpi1 $reg8,$pof$upof16 */
  2697. {
  2698. { 0, 0, 0, 0 },
  2699. { { MNEM, ' ', OP (REG8), ',', OP (POF), OP (UPOF16), 0 } },
  2700. & ifmt_addrpof, { 0x82 }
  2701. },
  2702. /* cmpi2 $reg8,$pof$upof16 */
  2703. {
  2704. { 0, 0, 0, 0 },
  2705. { { MNEM, ' ', OP (REG8), ',', OP (POF), OP (UPOF16), 0 } },
  2706. & ifmt_addrpof, { 0x92 }
  2707. },
  2708. /* cmpd1 $regmem8,$memgr8 */
  2709. {
  2710. { 0, 0, 0, 0 },
  2711. { { MNEM, ' ', OP (REGMEM8), ',', OP (MEMGR8), 0 } },
  2712. & ifmt_addrm2, { 0xa2 }
  2713. },
  2714. /* cmpd2 $regmem8,$memgr8 */
  2715. {
  2716. { 0, 0, 0, 0 },
  2717. { { MNEM, ' ', OP (REGMEM8), ',', OP (MEMGR8), 0 } },
  2718. & ifmt_addrm2, { 0xb2 }
  2719. },
  2720. /* cmpi1 $regmem8,$memgr8 */
  2721. {
  2722. { 0, 0, 0, 0 },
  2723. { { MNEM, ' ', OP (REGMEM8), ',', OP (MEMGR8), 0 } },
  2724. & ifmt_addrm2, { 0x82 }
  2725. },
  2726. /* cmpi2 $regmem8,$memgr8 */
  2727. {
  2728. { 0, 0, 0, 0 },
  2729. { { MNEM, ' ', OP (REGMEM8), ',', OP (MEMGR8), 0 } },
  2730. & ifmt_addrm2, { 0x92 }
  2731. },
  2732. /* cmpd1 $reg8,$memory */
  2733. {
  2734. { 0, 0, 0, 0 },
  2735. { { MNEM, ' ', OP (REG8), ',', OP (MEMORY), 0 } },
  2736. & ifmt_addrm, { 0xa2 }
  2737. },
  2738. /* cmpd2 $reg8,$memory */
  2739. {
  2740. { 0, 0, 0, 0 },
  2741. { { MNEM, ' ', OP (REG8), ',', OP (MEMORY), 0 } },
  2742. & ifmt_addrm, { 0xb2 }
  2743. },
  2744. /* cmpi1 $reg8,$memory */
  2745. {
  2746. { 0, 0, 0, 0 },
  2747. { { MNEM, ' ', OP (REG8), ',', OP (MEMORY), 0 } },
  2748. & ifmt_addrm, { 0x82 }
  2749. },
  2750. /* cmpi2 $reg8,$memory */
  2751. {
  2752. { 0, 0, 0, 0 },
  2753. { { MNEM, ' ', OP (REG8), ',', OP (MEMORY), 0 } },
  2754. & ifmt_addrm, { 0x92 }
  2755. },
  2756. /* shl $dr,$sr */
  2757. {
  2758. { 0, 0, 0, 0 },
  2759. { { MNEM, ' ', OP (DR), ',', OP (SR), 0 } },
  2760. & ifmt_addr, { 0x4c }
  2761. },
  2762. /* shr $dr,$sr */
  2763. {
  2764. { 0, 0, 0, 0 },
  2765. { { MNEM, ' ', OP (DR), ',', OP (SR), 0 } },
  2766. & ifmt_addr, { 0x6c }
  2767. },
  2768. /* rol $dr,$sr */
  2769. {
  2770. { 0, 0, 0, 0 },
  2771. { { MNEM, ' ', OP (DR), ',', OP (SR), 0 } },
  2772. & ifmt_addr, { 0xc }
  2773. },
  2774. /* ror $dr,$sr */
  2775. {
  2776. { 0, 0, 0, 0 },
  2777. { { MNEM, ' ', OP (DR), ',', OP (SR), 0 } },
  2778. & ifmt_addr, { 0x2c }
  2779. },
  2780. /* ashr $dr,$sr */
  2781. {
  2782. { 0, 0, 0, 0 },
  2783. { { MNEM, ' ', OP (DR), ',', OP (SR), 0 } },
  2784. & ifmt_addr, { 0xac }
  2785. },
  2786. /* shl $sr,$hash$uimm4 */
  2787. {
  2788. { 0, 0, 0, 0 },
  2789. { { MNEM, ' ', OP (SR), ',', OP (HASH), OP (UIMM4), 0 } },
  2790. & ifmt_cmpd1ri, { 0x5c }
  2791. },
  2792. /* shr $sr,$hash$uimm4 */
  2793. {
  2794. { 0, 0, 0, 0 },
  2795. { { MNEM, ' ', OP (SR), ',', OP (HASH), OP (UIMM4), 0 } },
  2796. & ifmt_cmpd1ri, { 0x7c }
  2797. },
  2798. /* rol $sr,$hash$uimm4 */
  2799. {
  2800. { 0, 0, 0, 0 },
  2801. { { MNEM, ' ', OP (SR), ',', OP (HASH), OP (UIMM4), 0 } },
  2802. & ifmt_cmpd1ri, { 0x1c }
  2803. },
  2804. /* ror $sr,$hash$uimm4 */
  2805. {
  2806. { 0, 0, 0, 0 },
  2807. { { MNEM, ' ', OP (SR), ',', OP (HASH), OP (UIMM4), 0 } },
  2808. & ifmt_cmpd1ri, { 0x3c }
  2809. },
  2810. /* ashr $sr,$hash$uimm4 */
  2811. {
  2812. { 0, 0, 0, 0 },
  2813. { { MNEM, ' ', OP (SR), ',', OP (HASH), OP (UIMM4), 0 } },
  2814. & ifmt_cmpd1ri, { 0xbc }
  2815. },
  2816. };
  2817. #undef A
  2818. #undef OPERAND
  2819. #undef MNEM
  2820. #undef OP
  2821. /* Formats for ALIAS macro-insns. */
  2822. #define F(f) & xc16x_cgen_ifld_table[XC16X_##f]
  2823. #undef F
  2824. /* Each non-simple macro entry points to an array of expansion possibilities. */
  2825. #define A(a) (1 << CGEN_INSN_##a)
  2826. #define OPERAND(op) XC16X_OPERAND_##op
  2827. #define MNEM CGEN_SYNTAX_MNEMONIC /* syntax value for mnemonic */
  2828. #define OP(field) CGEN_SYNTAX_MAKE_FIELD (OPERAND (field))
  2829. /* The macro instruction table. */
  2830. static const CGEN_IBASE xc16x_cgen_macro_insn_table[] =
  2831. {
  2832. };
  2833. /* The macro instruction opcode table. */
  2834. static const CGEN_OPCODE xc16x_cgen_macro_insn_opcode_table[] =
  2835. {
  2836. };
  2837. #undef A
  2838. #undef OPERAND
  2839. #undef MNEM
  2840. #undef OP
  2841. #ifndef CGEN_ASM_HASH_P
  2842. #define CGEN_ASM_HASH_P(insn) 1
  2843. #endif
  2844. #ifndef CGEN_DIS_HASH_P
  2845. #define CGEN_DIS_HASH_P(insn) 1
  2846. #endif
  2847. /* Return non-zero if INSN is to be added to the hash table.
  2848. Targets are free to override CGEN_{ASM,DIS}_HASH_P in the .opc file. */
  2849. static int
  2850. asm_hash_insn_p (const CGEN_INSN *insn ATTRIBUTE_UNUSED)
  2851. {
  2852. return CGEN_ASM_HASH_P (insn);
  2853. }
  2854. static int
  2855. dis_hash_insn_p (const CGEN_INSN *insn)
  2856. {
  2857. /* If building the hash table and the NO-DIS attribute is present,
  2858. ignore. */
  2859. if (CGEN_INSN_ATTR_VALUE (insn, CGEN_INSN_NO_DIS))
  2860. return 0;
  2861. return CGEN_DIS_HASH_P (insn);
  2862. }
  2863. #ifndef CGEN_ASM_HASH
  2864. #define CGEN_ASM_HASH_SIZE 127
  2865. #ifdef CGEN_MNEMONIC_OPERANDS
  2866. #define CGEN_ASM_HASH(mnem) (*(unsigned char *) (mnem) % CGEN_ASM_HASH_SIZE)
  2867. #else
  2868. #define CGEN_ASM_HASH(mnem) (*(unsigned char *) (mnem) % CGEN_ASM_HASH_SIZE) /*FIXME*/
  2869. #endif
  2870. #endif
  2871. /* It doesn't make much sense to provide a default here,
  2872. but while this is under development we do.
  2873. BUFFER is a pointer to the bytes of the insn, target order.
  2874. VALUE is the first base_insn_bitsize bits as an int in host order. */
  2875. #ifndef CGEN_DIS_HASH
  2876. #define CGEN_DIS_HASH_SIZE 256
  2877. #define CGEN_DIS_HASH(buf, value) (*(unsigned char *) (buf))
  2878. #endif
  2879. /* The result is the hash value of the insn.
  2880. Targets are free to override CGEN_{ASM,DIS}_HASH in the .opc file. */
  2881. static unsigned int
  2882. asm_hash_insn (const char *mnem)
  2883. {
  2884. return CGEN_ASM_HASH (mnem);
  2885. }
  2886. /* BUF is a pointer to the bytes of the insn, target order.
  2887. VALUE is the first base_insn_bitsize bits as an int in host order. */
  2888. static unsigned int
  2889. dis_hash_insn (const char *buf ATTRIBUTE_UNUSED,
  2890. CGEN_INSN_INT value ATTRIBUTE_UNUSED)
  2891. {
  2892. return CGEN_DIS_HASH (buf, value);
  2893. }
  2894. /* Set the recorded length of the insn in the CGEN_FIELDS struct. */
  2895. static void
  2896. set_fields_bitsize (CGEN_FIELDS *fields, int size)
  2897. {
  2898. CGEN_FIELDS_BITSIZE (fields) = size;
  2899. }
  2900. /* Function to call before using the operand instance table.
  2901. This plugs the opcode entries and macro instructions into the cpu table. */
  2902. void
  2903. xc16x_cgen_init_opcode_table (CGEN_CPU_DESC cd)
  2904. {
  2905. int i;
  2906. int num_macros = (sizeof (xc16x_cgen_macro_insn_table) /
  2907. sizeof (xc16x_cgen_macro_insn_table[0]));
  2908. const CGEN_IBASE *ib = & xc16x_cgen_macro_insn_table[0];
  2909. const CGEN_OPCODE *oc = & xc16x_cgen_macro_insn_opcode_table[0];
  2910. CGEN_INSN *insns = xmalloc (num_macros * sizeof (CGEN_INSN));
  2911. /* This test has been added to avoid a warning generated
  2912. if memset is called with a third argument of value zero. */
  2913. if (num_macros >= 1)
  2914. memset (insns, 0, num_macros * sizeof (CGEN_INSN));
  2915. for (i = 0; i < num_macros; ++i)
  2916. {
  2917. insns[i].base = &ib[i];
  2918. insns[i].opcode = &oc[i];
  2919. xc16x_cgen_build_insn_regex (& insns[i]);
  2920. }
  2921. cd->macro_insn_table.init_entries = insns;
  2922. cd->macro_insn_table.entry_size = sizeof (CGEN_IBASE);
  2923. cd->macro_insn_table.num_init_entries = num_macros;
  2924. oc = & xc16x_cgen_insn_opcode_table[0];
  2925. insns = (CGEN_INSN *) cd->insn_table.init_entries;
  2926. for (i = 0; i < MAX_INSNS; ++i)
  2927. {
  2928. insns[i].opcode = &oc[i];
  2929. xc16x_cgen_build_insn_regex (& insns[i]);
  2930. }
  2931. cd->sizeof_fields = sizeof (CGEN_FIELDS);
  2932. cd->set_fields_bitsize = set_fields_bitsize;
  2933. cd->asm_hash_p = asm_hash_insn_p;
  2934. cd->asm_hash = asm_hash_insn;
  2935. cd->asm_hash_size = CGEN_ASM_HASH_SIZE;
  2936. cd->dis_hash_p = dis_hash_insn_p;
  2937. cd->dis_hash = dis_hash_insn;
  2938. cd->dis_hash_size = CGEN_DIS_HASH_SIZE;
  2939. }